在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3609|回复: 4

[求助] LVDS时钟问题

[复制链接]
发表于 2020-5-27 09:14:05 | 显示全部楼层 |阅读模式
200资产
本帖最后由 梁_小倩 于 2020-5-27 22:55 编辑

FPGA有每个BANK有专门的时钟引脚MRCC和SRCC,之前画板子的时候没有注意这个问题,误把LVDS的输入时钟接到BANK的普通IO上面了,时钟频率为360Mhz,现在LVDS输入的数据是可以通过ILA测出来,但时钟不行,在内部将时钟分频之后也还是测不出来。我看网上有说用ODDR可以将PLL时钟输出到普通的IO,我这里能不能用IDDR把LVDS时钟输入到普通的IO呢?求大神解答。

最佳答案

查看完整内容

时钟误接到普通IO上,也是可以用的,不影响function。 不过需要手动例化上全局时钟buffer,时钟只有上了全局时钟buffer,才能保证时钟fanout到所有的时序元件的skew。 但是如果你的接口,时钟和数据有同步关系。eg.source synchronous,这样会比较麻烦。因为时钟从普通IO到全局时钟buffer的内部走线延时取决于place & route的结果,会影响FPGA输入接口的timing。 下面是Xilinx 7 series时钟buffer的输入要求: ...
 楼主| 发表于 2020-8-26 17:05:18 | 显示全部楼层
没办法,只能换引脚
发表于 2020-5-27 09:14:06 | 显示全部楼层
时钟误接到普通IO上,也是可以用的,不影响function。
不过需要手动例化上全局时钟buffer,时钟只有上了全局时钟buffer,才能保证时钟fanout到所有的时序元件的skew。

但是如果你的接口,时钟和数据有同步关系。eg.source synchronous,这样会比较麻烦。因为时钟从普通IO到全局时钟buffer的内部走线延时取决于place & route的结果,会影响FPGA输入接口的timing。

下面是Xilinx 7 series时钟buffer的输入要求:
image.png
发表于 2020-5-28 07:54:36 来自手机 | 显示全部楼层
只要延遲可以符合規格,我覺得是可以的
 楼主| 发表于 2020-8-26 17:07:17 | 显示全部楼层
只能换引脚
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-6 21:54 , Processed in 0.021269 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表