在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4975|回复: 2

[求助] irun怎么生成uvm环境的波形呢?

[复制链接]
发表于 2014-6-28 17:51:18 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
irun怎么生成uvm环境的波形呢?比如下面这个top文件,里面没有实例化任何对象,用probe命令不知道怎么用呢,产生的波形文件里面什么波形都没有?
大神帮帮忙,

`include "uvm_macros.svh"
import uvm_pkg::*;

`include "driver.sv"  
`include "monitor.sv"  
`include "agent.sv"  
`include "env.sv"  
`include "test.sv"

module top;

  initial
    run_test();

endmodule
发表于 2015-9-24 10:46:33 | 显示全部楼层
手册中有, probe -shm -all -depth什么的,然后还要在仿真时加-uvm -sv
发表于 2015-9-25 13:13:34 | 显示全部楼层
uvm环境的东西应该不能生成波形,用log就行了。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-21 13:42 , Processed in 0.014932 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表