在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 7400|回复: 5

[求助] 求助 运行verdi命令 出现以下提示 是什么问题啊 求大侠详解

[复制链接]
发表于 2013-7-31 11:07:38 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
[root@localhost bin]# verdi
logDir = /usr/novas201004_tar/platform/LINUX/bin/verdiLog
vericom - A HDL Compiler, Release 2010.04 (Linux) 04/07/2010
Copyright (C) 1996 - 2010 by SpringSoft, Inc.
Usage: verdi [options] files
Options:
    -2001            Support Verilog IEEE 1364-2001 standard.
    -2001genblk      Use Verilog IEEE 1364-2001 naming style for generate
                     blocks(overrides other language options).
    -2005            Support IEEE 1364-2005 standard.
    -ams             Support Verilog-AMS syntax.
    -applog          Append the compile messages to log file (The
                     default mode is overwriting).
    -autoendcelldef  Automatically appends `endcelldefine at the end of
                     a file if a matching `endcelldefine can not be
                     found for a declared `celldefine in the file.
    -comment_transoff_regions -suboption | +suboption
                     Skip source code between translate_off/on pragmas
                     The suboptions are vendor names e.g. cadence, ikos,
                     mentor, novas, pragma, quickturn, synopsys, synthesis.
    -cuname<cu name> Support ModelSim compilation-base compilation-unit mode
    -cunit           Support Synopsys compilation-base compilation-unit mode
    +define+<macro>  The +define option is used to specify macros.
                     If the macro is also defined in your source code,
                     it will be overridden by this option.
    -define          Define a macro.
    -errormax <number>
                     Specify the maximum number of errors to report. If the
                     errors exceed the number, the parser will skip reporting
                     the remaining errors.
    -syntaxerrormax <number>
                     Specify the maximum number of syntax errors to stop parsing.
                     If the syntax errors exceed the number,
                     the parser will stop parsing the remaining files.
    -extractRTL      Automatically recognizes the RTL storage elements.
                     The extracted storage elements will be saved into
                     the library.
    -f <filename>    Load an ASCII file containing design source files and
                     additional simulator options.
    -help            Display this help menu.
    -ignorekwd_config
                     Ignore the keyword of Verilog IEEE 1364-2001, "config".
    -ignore_macro_redef
                     Suppress warning messages for re-defined macro(s).
    +incdir+<directory_name>
                     Specify the search path for files used by the `include
                     statement.
    -incdir <directory>
                     Specify an include directory.
    -incsave         Specify to decrease the compilation memory.
    -L               Specify the library to search for packages.
    +libext+<extension_name>
                     Used to specify the file extensions for Verilog library
                     files.
                     See also the -y option.
发表于 2013-7-31 12:00:03 | 显示全部楼层
指令使用错误,没有按照规则使用
发表于 2013-8-1 20:31:20 | 显示全部楼层
曾经遇到,正在学习中!!
发表于 2014-4-30 09:06:25 | 显示全部楼层
-2001genblk使用之后还是没有解决掉我的问题,在genblk中有调用其他CELL,仍然无法直接双击进入该CELL。学习中。。。。。
发表于 2015-9-25 16:32:13 | 显示全部楼层
谁有verdi 相关的学习资料
发表于 2016-1-31 14:02:28 | 显示全部楼层
thank you very much
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-21 15:30 , Processed in 0.040308 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表