在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1916|回复: 5

[求助] 为什么我这段代码会出错呢?

[复制链接]
发表于 2012-3-8 15:08:22 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity seris_gen is
    generic(n : NATURAL := 3);
    port(clk  : in  std_logic;
         reset: in  std_logic;
         q    : out std_logic;
end seris_gen;
architecture behave of seris_gen is
    signal q_n: std_logic_vector(3 downto 0);
begin
    p0: process (clk, reset) is
    variable cnt : unsigned(n-1 downto 0);
    begin
if reset = '1' then
     cnt := (others => '0');
elsif rising_edge(clk) then
     cnt := cnt + 1;
    end if
    end process p0;
    p1: process(clk, reset, cnt, q_n) is
    begin
        if (reset = '1') then
            q_n <= '1';
        elsif rising_edge(clk) then
            if (cnt[2] and cnt[1]) = '1' then
                q_n <= '0';
     else
  q_n <= '1';
            end if;
        end if;
    end process p1;
    q <= q_n;
end behave;
发表于 2012-3-8 15:20:50 | 显示全部楼层
vhdl?
发表于 2012-3-8 16:03:16 | 显示全部楼层
出什么错?
发表于 2012-3-8 16:48:24 | 显示全部楼层
本帖最后由 demonsolar 于 2012-3-8 16:49 编辑

-- Error: /mnt/hgfs/home/SV_test/seris_gen.vhdl(9): Syntax error at "end seris_gen ;".
-- Error: /mnt/hgfs/home/SV_test/seris_gen.vhdl(10): Syntax error at "architecture behave of".
-- Error: /mnt/hgfs/home/SV_test/seris_gen.vhdl(14): Can't find declaration: unsigned.
-- Error: /mnt/hgfs/home/SV_test/seris_gen.vhdl(17): Bad expression.
-- Error: /mnt/hgfs/home/SV_test/seris_gen.vhdl(19): No feasible entries for infix op +.
-- Error: /mnt/hgfs/home/SV_test/seris_gen.vhdl(19): Bad expression.
-- Error: /mnt/hgfs/home/SV_test/seris_gen.vhdl(21): Syntax error at "end process p0".
-- Error: /mnt/hgfs/home/SV_test/seris_gen.vhdl(22): Can't find declaration: cnt.
-- Error: /mnt/hgfs/home/SV_test/seris_gen.vhdl(22): Can't find declaration: q_n.
-- Error: /mnt/hgfs/home/SV_test/seris_gen.vhdl(25): Can't find declaration: q_n.
-- Error: /mnt/hgfs/home/SV_test/seris_gen.vhdl(27): Syntax error at "[ 2 ]".
-- Error: /mnt/hgfs/home/SV_test/seris_gen.vhdl(27): Syntax error at "2 ] and".
-- Error: /mnt/hgfs/home/SV_test/seris_gen.vhdl(27): Syntax error at "] and cnt".
-- Error: /mnt/hgfs/home/SV_test/seris_gen.vhdl(27): Syntax error at ") = '1'".
-- Error: /mnt/hgfs/home/SV_test/seris_gen.vhdl(30): Can't find declaration: q_n.
-- Error: /mnt/hgfs/home/SV_test/seris_gen.vhdl(32): Syntax error at "if ;".
-- Error: /mnt/hgfs/home/SV_test/seris_gen.vhdl(32): Syntax error at "if ;".
-- Error: /mnt/hgfs/home/SV_test/seris_gen.vhdl(32): Syntax error at ";".
发表于 2012-3-9 13:41:46 | 显示全部楼层
自己太粗心大意了,port()的后面半个括号没有了,难道没有发现?错误的提示都很明显了。
发表于 2012-3-9 14:36:49 | 显示全部楼层
语法错误,错误提示很明显啊
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

X

小黑屋| 手机版| 关于我们| 联系我们| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2025-6-11 00:32 , Processed in 0.017407 second(s), 7 queries , Gzip On, MemCached On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表