在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2351|回复: 4

[求助] 求助!!VCS安装后 使用遇到到问题!

[复制链接]
发表于 2011-11-19 21:53:48 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
Parsing design file 'add4.v'
Top Level Modules:
       addr4
No TimeScale specified
Starting vcs inline pass...
1 module and 0 UDP read.
recompiling module addr4
gcc  -pipe -O -I/usr/Synopsys/VCS/include   -c -o rmapats.o rmapats.c
if [ -x ../simv ]; then chmod -x ../simv; fi
g++  -o ../simv  5NrI_d.o 5NrIB_d.o tsEW_1_d.o rmapats_mop.o rmapats.o SIM_l.o   /usr/Synopsys/VCS/linux/lib/libvirsim.a /usr/Synopsys/VCS/linux/lib/librterrorinf.so /usr/Synopsys/VCS/linux/lib/libsnpsmalloc.so     /usr/Synopsys/VCS/linux/lib/libvcsnew.so     /usr/Synopsys/VCS/linux/lib/vcs_save_restore_new.o /usr/Synopsys/VCS/linux/lib/ctype-stubs_32.a -ldl  -lc -lm -lpthread -ldl   
../simv up to date
CPU time: .860 seconds to compile + .780 seconds to elab + .428 seconds to link
这个到底是什么原因造成的啊.....是不是GCC?我gcc--version了下 系统已经装了...
发表于 2011-11-20 19:36:25 | 显示全部楼层
我也想知道,这么怪异
发表于 2011-11-20 22:39:55 | 显示全部楼层
你确定你module写的没问题吗
发表于 2011-11-21 23:24:28 | 显示全部楼层
除了“No TimeScale specified”,没有不对的地方吧。
执行生成的simv程序才会开始仿真。
发表于 2011-11-22 09:40:32 | 显示全部楼层
./simv 开始仿真吧
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-21 12:29 , Processed in 0.024140 second(s), 12 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表