在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
个人的一点小总结: 如果看懂sdf文件 attachment  ...23456..71 buaajw 2008-6-19 70473289 RayCing 2022-8-30 14:50
电子书 夏宇闻经典著作 《 Verilog数字系统设计教程》电子书 attachment  ...23456..70 zhaojh948 2007-8-16 69775371 lingdang_xiao 2018-2-5 22:41
数字设计时序分析经典:Timing (2004 Kluwer) attachment  ...23456..69 braydenh 2008-6-13 68864364 jimcmwang 2023-7-3 16:47
[下载]Debussy 5.4 (windows版) attachment  ...23456..69 genghis 2009-10-17 68653071 dlb05061131 2011-4-13 12:06
Verilog HDL数字设计与综合 夏宇闻 译 第二版 PDF格式 attachment  ...23456..69 sphinxing 2009-6-1 68386449 binnq 3 天前
FPGA高手必备资料,分基础和高级篇(EDA先锋工作室出品)(每个附件5M全免费) attachment  ...23456..69 helyboy_1999 2007-9-24 68189425 odbc1230 2014-11-14 12:27
[原创] QuestaSim2021.1 安装包 attachment  ...23456..68 ianFYB 2021-8-20 67835546 ccaiyuer 2024-5-9 17:19
《FPGA数字电子系统设计与开发实例导航》程序(I2C,CAN,UART,VGA,USB,ETH) attachment  ...23456..68 scallion 2009-4-10 67775003 品博锦取_2021 2023-12-29 10:15
[资料] nlint软件--前端设计软件(linux和windows含破解) attachment  ...23456..68 haichao_xjtu 2011-6-16 673121004 dic2310 2024-5-8 08:17
[资料] FPGA入门后如何提高:3本必看的中级FPGA好书 attachment  ...23456..67 ishock1 2011-6-13 66288949 cooldog123pp 2021-4-25 10:22
[下载]Questasim 6.3c(含keygen) attachment  ...23456..67 genghis 2008-6-27 66047991 ctltabc 2011-8-11 01:35
[原创] ARM第四代总线--AMBA4/AXI Rev2 attachment  ...23456..66 jrzhang 2010-3-23 65660825 chtest123 2018-1-8 11:05
Altera.Quartus.II.v9.0.SP2 下载地址  ...23456..66 yulizi 2009-9-15 65376695 weigaozu 2021-5-19 00:46
[原创] 【数字VLSI芯片设计—使用Cadence和Synopsys CAD工具】E.Brunvand 著【中文清晰版】 attachment  ...23456..65 benemale 2010-12-26 64882678 cmmjava 2023-7-20 20:03
无线通信FPGA设计(清晰PDF版) attachment  ...23456..65 factzero 2009-5-24 64486860 品博锦取_2021 2024-4-9 11:30
牛级书:数字信号处理的FPGA实现(第3版) attachment  ...23456..64 loveineda 2008-2-27 63879752 binnq 3 天前
system verilog 超级资料(中文) attach_img  ...23456..64 ise 2007-4-18 63479285 hjp667 2022-3-11 10:39
cadence版图设计教程和仿真教程 attachment  ...23456..63 kongchau 2008-12-18 62872923 朦胧珑 2023-1-9 12:22
[原创] 【清晰版】Xilinx ISE Design Suite 10.x FPGA开发指南: DSP、嵌入式与高速传输篇 attachment  ...23456..63 benemale 2010-12-26 62677967 dannymu 2022-6-16 11:11
[资料] Xilinx大牛之作,高速串行收发器绝好中文资料,有助于理解GTP/GTX工作原理 attachment  ...23456..63 zhoujinyou 2011-5-7 62497678 NANHU_BRUCE 2023-9-14 18:05
清华大学研究生大规模数字集成电路讲义(含作业答案)(完全免费) attachment  ...23456..63 helyboy_1999 2007-9-22 62381689 zhou_shi_yong 2022-1-19 10:38
FPGA设计指导手册(免费了!!!!!!) attachment  ...23456..62 jackywangwen 2005-12-5 61981228 Juli05 2020-9-7 15:49
(好书共享) FPGA入门必读Design.warriors.guide.to.FPGA attachment  ...23456..61 jacocobi 2008-3-26 60761143 dannymu 2019-6-10 16:42
verilog 大量例子!!共130多个例子由浅入伸!!! attachment  ...23456..61 mage1982 2005-7-17 60679579 babikambing 2021-3-5 15:34
verilog威盛内部资料,很好的东西,绝对可靠 attachment  ...23456..60 pangcheng 2009-10-5 59750900 刘混世魔王 2016-5-19 20:00
异步FIFO结构(极品资料 中文版) attachment  ...23456..60 wuzhe 2006-8-4 59663842 zgy_chenxin 2018-11-21 16:24
(强烈推荐)Altera 培训资料_2009_05_16(为期三天,有实例代码,内容见帖子) attachment  ...23456..60 wodeccbp 2009-7-7 59134522 shenma988 2011-10-22 17:07
搞到了超级quartus ii破解文件加大量IP核许可 attachment  ...23456..59 wasabi55 2009-12-13 58389137 vsop 2021-2-14 15:54
西安电子科技大学出版社电子教案集——PPT课件 attachment  ...23456..58 daiwei88 2008-11-27 57594316 Mars-chong 2024-1-24 10:37
[资料] 【ZYNQ】特权老师Xilinx ZYNQ资料 attachment  ...23456..58 suisuisi 2019-9-15 57475169 ltmmcu 1 小时前
经典的 I2C总线协议 Verilog源代码 attachment  ...23456..58 wwq1105 2009-8-3 57284045 anyang188 2024-1-23 16:23
发个Tanner的教程,包含L-edit,S-edit 是中文的课件哦 attachment  ...23456..57 zhentizhi 2007-3-31 56889165 rsmicro 2024-2-6 17:05
ModelSim 6.5 最新讲义 (2009年3月) attachment  ...23456..57 gourdcn 2009-3-27 56746823 flyover_xiao 2014-3-13 19:59
[资料] 高级ASIC芯片综合(中文版) attachment  ...23456..57 secondwind 2011-6-1 56794186 binnq 2024-5-24 15:26
[原创] synplify 9.6.2安装包+破解文件+安装教程 下载(提供网盘地址,不用分包下载了) attachment  ...23456..57 hoho0ohoh 2010-5-27 56548342 laiyinhate 2011-4-11 14:11
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-10 16:26 , Processed in 0.047761 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块