在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
SDRAM 控制的刷新问题和锁相环问题 ppx520 2004-7-17 11961 jamyce 2004-7-19 08:41
斑竹请帮我看看这个程序,在综合时提示错误!!!! yourazhe 2004-7-17 32336 bravelu 2004-7-18 19:51
Verilog中任务的调用  ...2 prguo 2003-11-19 107380 jsbv 2004-7-18 01:22
这个循环语句错在什么地方????????????? yourazhe 2004-7-15 16799 zigzag 2004-7-16 22:02
FSM问题 attachment jamyce 2004-4-22 72539 yuzhicai 2004-7-16 21:59
怎样把dc综合出来的错误信息导入一个文件呢? yuzhicai 2004-7-16 21556 yuzhicai 2004-7-16 21:55
如何在FPGA中嵌入可以跑linux的CPU核 740625 2004-7-15 12043 jackzhang 2004-7-15 15:52
为什么ALTERA EPM7064S的输出高电平才3.5V 左右? (无内容) mikenee 2004-1-27 32257 灵犀 2004-7-15 11:40
关于CPLD/FPGA的入门 豆豆 2004-5-17 31698 yungold 2004-7-15 09:35
我有些EDA的软件,与大家交流 freedingcq 2004-6-24 71982 sjh324 2004-7-15 08:48
如何将一个信号延时10us后原样输出啊?(VHDL) yourazhe 2004-7-6 64881 风再起 2004-7-12 20:03
请大虾们帮个小忙,这们MAX的编译错误怎么解决啊? romyks 2004-7-12 13269 bravelu 2004-7-12 18:26
在vhdl 语言中,如何将一个信号与芯片的实际引脚相关联? yourazhe 2004-7-5 43096 zigzag 2004-7-9 18:08
找QUARTUS weiwu 2004-6-25 21904 blueray 2004-7-7 10:50
请问我怎么增加威望 toland 2004-7-6 11693 atuhappy 2004-7-7 10:39
求教:CORE GEN生成的FIFO进行行为级仿真的问题。 attachment  ...2 jamyce 2004-1-9 144818 wwjj0221 2004-7-7 09:25
[求助]如何进行网表转换啊 guanfree 2004-7-6 22050 guanfree 2004-7-6 21:50
[求助]买什么样的比较合适???? electronics 2004-7-6 11799 bravelu 2004-7-6 16:14
有没有HDLC转异步串口的例子 newbiew 2004-7-6 01436 newbiew 2004-7-6 14:08
maxplus编译错误? ncepu 2004-7-5 14719 bravelu 2004-7-5 12:07
征求已经在FPGA上验证过的8位单片机的核 740625 2004-7-3 21849 740625 2004-7-5 10:19
如何将CPLD(XILINX XC952156)的一个引脚与地相连? yourazhe 2004-7-2 32734 yourazhe 2004-7-3 14:17
求助代码问题 duanwuyu 2004-7-1 43334 duanwuyu 2004-7-1 13:20
[讨论]莱鸟问题~~ electronics 2004-6-30 21656 electronics 2004-6-30 16:37
ISE6。1(FPGA)综合时出现。这三个WARNING是什么意思??会不会影响稳定性?? ZLS 2004-6-4 45268 lixiangmmm 2004-6-29 13:12
模拟固定分区存储管理的分配与回收 aivt 2004-6-28 22327 liuiang 2004-6-28 18:21
[求助]各位大侠帮我解释这个问题,谢谢 colour88 2004-6-28 94435 colour88 2004-6-28 17:30
[求助]FPGA advantage5.4的license guanfree 2004-6-28 04293 guanfree 2004-6-28 16:44
[求助]请大侠们帮看此程序,小妹实在看不懂了  ...2 colour88 2004-6-18 183208 colour88 2004-6-28 00:12
有關if的編碼風格........看不懂ㄟ@@ yaolung 2004-6-26 11522 atuhappy 2004-6-27 13:58
[求助]计数器的同步级联! attachment brotherjam 2004-6-27 46313 brotherjam 2004-6-27 13:52
在写CPLD程序时出现boundary-scan错误,该如何解决?(版主请进) yourazhe 2004-6-22 53424 jackzhang 2004-6-25 20:47
CPLD 的 GLOBAL CLEAR 可以用做一般的I/O口吗?还是必须要加这样一个信号电平上去 (无 灵犀 2004-6-25 14921 atuhappy 2004-6-25 16:04
asking for helping hypee 2004-6-15 31782 xudongyang 2004-6-25 13:35
[求助]verilog oasis77oasis 2004-6-25 41976 atuhappy 2004-6-25 13:02
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-4 16:13 , Processed in 0.086206 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块