在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收藏本版 (126) |订阅

后端讨论区 今日: 9 |主题: 20903|排名: 16 

[求助] 关于如何填写streamOut.map(已解决) AveryYoung 2012-12-4 43872 icfbicfb 2013-1-16 22:24
[求助] encounter综合时钟树?怎么是红一块蓝一块的呢 attach_img jtrlengyue 2012-4-13 31639 哇咔咔 2013-1-16 21:22
[求助] LEF文件验证 陈华009 2013-1-16 01166 陈华009 2013-1-16 15:39
[求助] clock_pulse_width XIDIANCAD2 2013-1-15 21363 XIDIANCAD2 2013-1-16 12:00
[求助] 跨时钟域怎么约束啊? attach_img yic2000 2013-1-15 22111 zhouchong0904 2013-1-16 09:58
[求助] ICC TIE_connection qingx_j 2011-7-1 23271 icfbicfb 2013-1-15 22:51
[求助] ICC 中 Place 阶段时钟线的处理 niuli 2013-1-15 11957 icfbicfb 2013-1-15 22:50
[求助] clock gating的sta约束!!!! Gary.wang 2013-1-15 22159 icfbicfb 2013-1-15 22:49
[求助] 西北某省选调生 VS 上海外资公司  ...2 whitetiger 2013-1-9 153521 damonzhao 2013-1-15 18:30
[求助] 求助,如何在encounter中加入一个防天线效应的cell AveryYoung 2013-1-13 42781 Neil_10 2013-1-15 11:29
[求助] calibre lvs: open circuit kred 2013-1-14 53794 kred 2013-1-15 11:01
[求助] 求做后端的大师指定一条出路 tb0403 2013-1-9 92301 陈涛 2013-1-15 08:39
[求助] IC Compiler husthuige 2013-1-13 11979 jinwei91 2013-1-13 21:45
[求助] 新手求助ICC插入tapcell的问题 anamnesis 2013-1-11 34324 anamnesis 2013-1-13 19:59
[求助] ICC 中时钟树结构的问题 niuli 2013-1-12 12590 陈涛 2013-1-13 15:53
[求助] 跨power domain布线的影响 liqiang998 2013-1-13 11441 陈涛 2013-1-13 15:46
[求助] 求助:encounter pad overlap 急!!! lfmhli 2013-1-13 21737 lfmhli 2013-1-13 15:36
[求助] pt时序问题请教 米粒儿77 2013-1-13 11493 陈涛 2013-1-13 15:33
[解决] primetime timing report f2oo0 2012-10-8 73923 husthuige 2013-1-12 15:13
[求助] [已解决]dc综合后的一些警告 estyzq 2011-12-7 68853 husthuige 2013-1-12 14:24
[求助] 弱弱地问个工具是咋想的 pengyong820954 2013-1-11 21051 icfbicfb 2013-1-11 22:07
[求助] 用TOP metal route 信号线是否合适 conniezhou 2013-1-10 63593 陈涛 2013-1-11 17:03
[求助] 求prime time 2010 lab guide 急求! 米粒儿77 2013-1-11 01149 米粒儿77 2013-1-11 16:33
[求助] 求prime time 2010 lab guide 急求! 米粒儿77 2013-1-11 01055 米粒儿77 2013-1-11 16:33
[求助] LVS报缺失port zuoyedeyu 2013-1-10 33391 onlykals 2013-1-11 11:12
[求助] 求教如何在encounter中调用C程序? songhao 2013-1-11 01174 songhao 2013-1-11 10:42
[求助] 关于icc中悬空的问题,急急急!!! fhy420462303 2013-1-8 75363 fhy420462303 2013-1-11 10:17
[求助] ICC report_power liyong_ic 2013-1-10 22473 icfbicfb 2013-1-11 07:56
[求助] set_annotated_latency XIDIANCAD2 2013-1-10 11181 icfbicfb 2013-1-11 07:53
[求助] set_dont_touch_network set_auto_disable_drc_nets XIDIANCAD2 2013-1-10 14559 icfbicfb 2013-1-11 07:51
[求助] 关于setup问题? attach_img X6J6P6 2013-1-9 31181 陈涛 2013-1-11 00:48
[求助] 新人关于uncertain的一些理解,希望大家给予指正 pengyong820954 2013-1-9 52261 pengyong820954 2013-1-10 20:35
[求助] 请教一个clock的约束问题 attachment arthur_wang_orz 2013-1-10 21356 arthur_wang_orz 2013-1-10 17:22
[求助] DC综合后用modelsim做仿真 XIDIANCAD2 2013-1-9 21331 XIDIANCAD2 2013-1-10 17:17
[求助] 请教encounter中post-mask eco遇到的问题 yminic 2013-1-10 02561 yminic 2013-1-10 14:16
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 14:04 , Processed in 0.061326 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块