在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: dogor1

[原创] Xcelium/Jaspergold License

[复制链接]
 楼主| 发表于 2019-4-25 08:31:38 | 显示全部楼层
是的,你需要启动lmgrd。 或者至少我没有尝试没有启动它。
您可能想要创建一个虚拟eth0设备以指向lmgrd。

Description
First of all, load dummy kernel module (if not existing).
modprobe dummy
Then, create a TUN/TAP device for the network traffic.
ip tuntap add dev eth0 mode tap
Configure the MAC address of your license in that recently created TAP device.
ifconfig eth0 hw ether XX:XX:XX:XX:XX:XX
Give an IP address to the TAP device.
ip addr add 192.168.198.198/24 dev eth0;
Register the device in the system.
ip link set eth0 up;
发表于 2019-4-25 09:25:38 | 显示全部楼层
Thanks
发表于 2019-4-25 21:16:49 | 显示全部楼层
thanks
发表于 2019-5-7 17:40:33 | 显示全部楼层
这个也要用之前的IES15.2来 patch 整个安装包么?
发表于 2019-5-8 21:23:45 | 显示全部楼层
本帖最后由 roc2007 于 2019-5-8 23:28 编辑

楼主不在么?有imc的license么?Xcelium 的imc 和iccr好像消失了
发表于 2019-5-9 12:34:15 来自手机 | 显示全部楼层
thank you
发表于 2019-5-18 16:59:26 | 显示全部楼层
非常感谢
发表于 2019-5-20 07:24:07 | 显示全部楼层
谢谢,试一下。
发表于 2019-5-21 10:19:22 | 显示全部楼层
非常感谢!
发表于 2019-6-8 16:14:19 | 显示全部楼层
Thanks
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 06:42 , Processed in 0.026272 second(s), 5 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表