在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4587|回复: 14

[讨论] 在分析tree的结构时,应该如何分析

[复制链接]
发表于 2016-6-22 10:40:59 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
新手,对在做CTS之前有几点疑问:
假设blobk中有5个source clock
1.如何知道哪些clock需要balance,哪些不需要balance?
2.交叉的clock应该注意些什么?
总之,在CTS之前应该如何分析tree的结构?万分感激!!
发表于 2016-6-22 14:05:07 | 显示全部楼层




问前端做代码的人,让它把结构介绍给你;然后用report_timing查一下
发表于 2016-6-22 19:06:05 | 显示全部楼层
目前default的CTS方式还是用tool generate spec文件,做clockDesign。一般工具会考虑sdc、lib、netlist等的gen spec文件。一般的话如果你place opt timing基本都clean掉了,那cts之后的timing如果差距比较大的话就需要仔细看一下clock tree的结构了。如果postcts timign还可以的话,clock tree的结构也不太需要去看吧。
发表于 2016-6-22 19:12:04 | 显示全部楼层
至于交叉的那些clock,在cts之前先报一下trace file,trace文件中会有提示,那些clock在那个cell/pin上有交叉点,一种处理办法是把这些cell都抓出来,先往后长tree,然后再把前边的tree长。(出问题的时候考虑)
一般出问题的时候会去看clock tree
 楼主| 发表于 2016-6-23 15:39:40 | 显示全部楼层
回复 4# Lover_Momo


    很高兴收到您的回复,为什么当有问题时,先长交叉cell后面的clock tree,想了好久想不通。。
 楼主| 发表于 2016-6-23 15:41:18 | 显示全部楼层
回复 3# Lover_Momo


    分析clock tree从哪些角度入手?有哪些是需要关心的,感觉无从下手,哎,您那里有clock结构图吗?我想看一下
 楼主| 发表于 2016-6-23 15:42:51 | 显示全部楼层
回复 2# sjtusonic


    您好,其实我是不知道后端在做CTS之前应该怎样分析tree的结构,从哪些点切入,感觉没有方向
发表于 2016-6-23 16:22:52 | 显示全部楼层
回复 5# xingyun666666
为什么从交叉的后边开始长,这个问题.........(假设你sdc有两个create clock,那么tool会gen出两个root点在spec file,而恰好这两个clock有交叉点,那么工具cts的时候长完第一条tree,长第二条tree的时候trace到交叉点之后,那么它会不会再交叉点之后又插cell呢?如果插入cell会不会影响你第一个tree latency skew呢?)
发表于 2016-6-23 16:27:25 | 显示全部楼层
回复 7# xingyun666666
一般后端拿到数据的同时会有 前端说明啦,这份说明里边包含了很多信息,一般其中就有clock的信息了,如果想cts之前就了解的话,就只能从这方面入手了。(ps:我一般都是先按照工具gen spec file 用default flow做一遍cts。然后有问题的话(比如,latency很长,skew很大,level很多等等)就会去看出问题的点,进而再关注整个clock tree的结构,当然喽,如果专注以cts优化,是一开始就要了解clock tree的结构的。(ps还是看前端给的文件吧,如果没有的话,只能trace文件会有信息。但是听麻烦的;也可以通过clock debug gui来看tree结构。))
发表于 2016-6-23 20:18:44 | 显示全部楼层
先从功能上了解始终之间的关系
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-26 17:06 , Processed in 0.036568 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表