|
楼主 |
发表于 2016-6-4 18:44:38
|
显示全部楼层
这是写的代码
报错没有发现 prim_dff, 说明用户库没有被引用
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library my_stupid_lib;
use my_stupid_lib.components.all;
entity S_DFF is
port(
Q : out std_logic;
D : in std_logic;
CLK : in std_logic;
CLRN : in std_logic;
PRN : in std_logic);
end S_DFF;
architecture beh of DDFF is
begin
Q_Z11: prim_dff port map (Q, D, CLK, CLRN, PRN);
end beh; |
|