在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 13601|回复: 37

Cadence工具简介

[复制链接]
发表于 2007-5-2 20:02:08 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
1,逻辑设计与验证工具
*
逻辑仿真工具: Cadence NC-verilog, Verilog-XL, NCSim,
Simvision Waveform Viewer
*
综合工具: Cadence BuildGates
*
形式验证工具: VerplexLEC
2.综合布局布线工具
SoC Encounter—
可应用于如90nm及其以下的SOC设计; SE-PKS—可应用于如复杂时序收敛的IC设计; Fire & Ice QX and SignalStorm—可应用于3维电阻电容参数提取及延时计算; VoltageStorm—可应用于功耗分析; CeltIC—可应用于信号完整性分析。
3 system level design
工具综合(Hardware Design System 2000算法验证(SPW结构设计工具(SystemC-based simulators, CoWare, etc)
硬件/软件混合设计工具(Verification Platform, Seamless, etc)
模拟/混合信号工具(AMS, Agilent ADS, etc)
4CIC(layout & custom layout) 全定制集成电路布局设计工具 virtuoso Layout Editor
Assura (Layout verification)
5
AMS (Analog mixed signal, RF analysis and design)模拟集成电路设计工具AnalogDesignEnvironment
MixedSignal Design Environment
Analog Modeling with Verilog-A
Spectre Circuit Simulator
6
HS-PSD(high speed PCB system design) 高速系统和板极设计工具
o Concept hdl Front-to-Back Design Flow –
原理图输入工具
o PCB Librarian –
器件建库工具
o Allegro PCB Layout System – PCB
板布局布线工具
o Specctra AutoRoute Basics –
基本自动布线器
o Advanced Specctra Autorouting Techniques –
高级自动布线器
o SpecctraQuest Foundations –
信号完整性仿真工具
o Advanced SpecctraQuest Techniques –
高级信号仿真工具

*VerilogHDL
仿真工具 Verilog-XL
*电路设计工具 Composer
拟工具 Analog Artist
*版图设计工具 Virtuoso Layout Editor
版图验证工具 Dracula Diva
*动布局布线工具 Preview Silicon Ensemble  

form:Mr Bond coms-chip expert
设计任务 EDA工具
功能仿真和测试 a. Cadence, NC_sim
b. Mentor ModelSim (
调试性能比较突出)
c. Synopsys VCS/VSS
d. Novas Debussy (
仅用于调试)
逻辑综合 a. Synopsys, DC
b. Cadence, BuildGates
c. Mentor, Leonardo

DFT a. Mentor, DFTAdvisor
b. Mentor, Fastscan
c. Mentor, TestKompress
d. Mentor, DFTInsight
e. Mentor, MBISTArchitect
f. Mentor, LBISTArchitect
g. Mentor, BSDArchitect
h. Mentor, Flextest
i. Synopsys, DFT Complier
j. Synopsys, Tetra MAX
k. Synopsys, BSD Complier

布局,时钟树综合和自动布线
a. Cadence, Design Planner
b. Cadence, CT-Gen
c. Cadence, PKS
d. Cadence, Silicon Ensemble
e. Synopsys, Chip Architect
f. Synopsys, Floorplan Manager
g. Synopsys, Physical Complier & Apollo
h. Synopsys, FlexRoute

网表提取及RC参数提取物理验证
a. Mentor, xCalibre
b. Cadence, Assure RCX
c. Synopsys, Star-RCXT
d. Mentor, Calibre
e. Synopsys, Hercule
f. Cadence, Assure

延时计算与静态时序分析
a. Synopsys, Prime Time
b. Cadence, Pearl
c. Mentor, SST Velocity

形式验证 a. Mentor, FormalPro
b. Synopsys, Formality
c. Cadence, FormalCheck

功能优化与分析 a. Synopsys, Power Compiler
b. Synopsys, PowerMill-ACE

HDLQA a. TransEDA, Verification Navigator
b. Synopsys, LEDA

FPGA开发 a. Mentor, FPGAdvantage
b. XILINX, ISE
c. Altera, QuartusII

SoC开发 a. Mentor, Seamless CVE
b. Cadence, SPW
c. Synopsys, Co-Centric

版图设计工具 a. Cadence, Virtuoso
b. Mentor, IC-Station
c.
思源科技, Laker
电路级仿真 a. Mentor, ELDO
b. Mentor, ADMS
c. Cadence, Spectre, Spectre RF
d. Cadence, AMS
e. Synopsys, Star-Hspice

以下只是个人和本公司的评价,不一定十分全面,仅供参考。
Synopsys:
优点:在完成设计所花费的时间、代价和质量上比较平衡,不是最好,但绝对不坏。拥有一些久经考验无人可比的软件。缺点:
Physical-Compiler
Astro的整合上不够好,毕竟它是由一个前端设计EDA公司通过并购Avanti扩展到后端来的。
Cadence:
优点:拥有一批非常优秀的EDA软件,如:RTL Compiler, Encounter, Nano route, CeltIc(只限于单独使用)缺点:虽然是老牌后端设计公司,可是现在的支柱产品都是最近几年买来的,自己以前的东西剩下的不多了。上述产品的整合是个大问题。现在的产品不擅长于复杂时序的收敛。
Magma:
优点:最近5年异军突起的一家EDA公司,拥有一套自己独特的算法和漂亮好用的GUI,在复杂时序的收敛上异常优异。缺点:附带产品不够全面,价钱高
之所以提Magma是因为我们总的评分是
(1)Mgama
(2)Synopsys
(3)Cadence

我们的作法是取各个公司最好的部分,自己整合出一套后端设计平台。比如: Synopsys Design-Compiler, DFT-Compiler, PrimeTime + Magma BlastFusion (Place&route) + Cadence QX, LEC, CeltIc + Mentor Calibre
发表于 2007-5-3 09:25:28 | 显示全部楼层

很及时全面的帖子

这帖子太及时了,正好需要这样的资料,需要全面了解Cadence软件的资料
正好!
发表于 2007-9-27 11:02:24 | 显示全部楼层
真棒,多~~~~~~~~~~~~谢啦~
发表于 2007-10-4 10:31:26 | 显示全部楼层
Thank you so much
发表于 2007-10-4 11:10:44 | 显示全部楼层
好贴,正需要!
发表于 2007-10-29 15:43:43 | 显示全部楼层
全面!!!
发表于 2007-10-29 16:00:42 | 显示全部楼层
看一下 ,瞧一下!
发表于 2007-11-11 13:10:34 | 显示全部楼层
太感谢,楼住了
发表于 2007-11-11 16:55:09 | 显示全部楼层
dfaghhe
发表于 2007-11-15 20:13:04 | 显示全部楼层
Thank you so much
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 06:52 , Processed in 0.036546 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表