在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 6008|回复: 6

[原创] 请教如下要求的clock在pt中应该怎么create

[复制链接]
发表于 2011-12-8 10:56:02 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 nicholas08 于 2011-12-9 11:47 编辑

我现在一个source clk会经过好多的clock module产生不同的clk给不同的module用。。每个clk module的结构如图所示。。我希望pt能从source clk处开始check,但是希望clk group可以是clk1,clk2,clk3的名字,而不是source clk的名字。请问该如何设置clk才行?
这个clk module是我自己搭的clk gating.
谢谢
 楼主| 发表于 2011-12-9 11:13:09 | 显示全部楼层
版主帮个忙吧。万分感谢
发表于 2011-12-10 03:38:31 | 显示全部楼层
图呢?
 楼主| 发表于 2011-12-12 08:45:26 | 显示全部楼层
本帖最后由 nicholas08 于 2011-12-14 16:13 编辑

回复 3# lz1920


   
多谢
发表于 2012-3-11 10:29:41 | 显示全部楼层
什么情况都多谢了?
发表于 2012-10-5 22:45:01 | 显示全部楼层
http://bbs.eetop.cn/thread-315469-1-8.html 应该就是这个问题吧
发表于 2012-11-2 11:14:25 | 显示全部楼层
是的,学习了
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 13:48 , Processed in 0.033482 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表