在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 7292|回复: 18

[其它] 新书上市<SystemVerilog与功能验证>赠书热抢!

[复制链接]
发表于 2010-9-26 22:14:43 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 bendrift 于 2010-9-28 14:49 编辑

内容简介 本书重点介绍硬件设计描述和验证语言Systemverilog的基本语法及其在功能验证上的应用;书中以功能验证为主线,讲述基本的验证流程、高级验证技术和验证方法学,以SystemVerilog为基础结合石头、剪刀、布的应用实例,重点阐述了如何采用SystemVerilog实现随机激励生成、功能覆盖率驱动验证、断言验证等多种高级验证技术;最后,通过业界流行的开放式验证方法学OVM介绍如何在验证平台中实现可重用性。

http://www.china-pub.com/197099


SystemVerilog与功能验证.JPG


赠书热抢计划

为了让更多读者了解SystemVerilog在验证方面的应用,本网站联合机械工业出版社推出10赠书.获取增书方法详见以下链接:
http://www.edaunion.com/bbs/viewthread.php?tid=1126&extra=page%3D1
赠书10本,先到先得.

                                          EDAUNION&机械工业出版社联合赞助
                                                 2010.9.26
 楼主| 发表于 2010-9-26 22:16:19 | 显示全部楼层
目录
前言
第1章功能验证技术与方法学概要
1.1功能验证与验证平台
1.1.1专用芯片设计流程
1.1.2什么是验证
1.1.3验证平台可以做些什么
1.1.4功能验证流程
1.2验证技术和验证方法学
1.2.1黑盒、白盒与灰盒验证
1.2.2验证技术
1.2.3验证存在的挑战
1.2.4验证方法学
1.2.5断言验证
1.2.6覆盖率驱动验证
1.3硬件验证语言
1.3.1Open Vera
1.3.2e语言
1.3.3PSL
1.3.4SystemC
1.3.5SystemVerilog

.第2章数据类型与编程结构
2.1数据类型
2.1.1两态数据类型
2.1.2枚举类型和用户自定义类型
2.1.3数组与队列
2.1.4字符串
2.1.5结构体和联合体
2.1.6常量
2.1.7文本表示
2.1.8操作符和表达式
2.2过程语句
2.2.1赋值语句
2.2.2控制结构
2.3函数和任务
2.3.1函数和任务的区别
2.3.2子程序定义
2.3.3子程序参数
2.3.4子程序返回
2.3.5自动存储
2.4编程结构
2.4.1模块
2.4.2接口
2.4.3过程块和语句块
2.4.4数据对象
2.4.5程序块
2.4.6简单的验证架构
2.5数据的生命周期和作用域
2.6数据类型转换
2.6.1静态类型转换
2.6.2动态类型转换
第3章并发进程与进程同步
3.1fork…join
3.1.1三种并发方式
3.1.2进程与变量
3.1.3进程控制
3.2mailbox
3.2.1mailbox的基本操作
3.2.2参数化mailbox
3.2.3mailbox应用实例
3.3semaphore
3.3.1semaphore的基本操作
3.3.2semaphore应用实例
3.4event
3.4.1事件触发
3.4.2等待事件
3.4.3事件的触发属性
第4章面向对象编程入门
4.1过程编程语言与面向对象编程语言
4.2类
4.2.1类的基本概念
4.2.2构造函数
4.2.3静态属性与方法
4.2.4this操作符
4.2.5对象的赋值与复制
4.2.6块外声明
4.3石头、剪刀、布仲裁器实例(基于类的验证平台)
4.3.1验证环境顶层
4.3.2验证组件
第5章虚接口
5.1虚接口的基本概念及应用
5.1.1虚接口的基本概念
5.1.2虚接口的应用
5.2端口模式和时钟控制块
5.2.1端口模式
5.2.2时钟控制块
第6章随机测试
6.1激励产生
6.1.1什么是随机
6.1.2潜在问题
6.2随机生成机制
6.2.1随机系统函数
6.2.2randcase/randsequence
6.3基于对象的随机生成
6.3.1随机变量
6.3.2约束定义
6.3.3随机方法
6.3.4随机使能控制
6.3.5约束的动态修改
6.4标准随机函数
6.5随机激励的应用
第7章继承与多态
7.1继承和多态的基本概念
7.2继承与子类
7.2.1类的继承与重写
7.2.2子类对象与父类对象的赋值
7.2.3构造函数调用
7.3虚方法与多态
7.3.1虚方法
7.3.2多态
7.4虚类和参数化类
7.4.1虚类
7.4.2参数化类
7.5约束重写
7.6数据的隐藏与封装
第8章功能覆盖率
8.1覆盖率
8.1.1目标覆盖率
8.1.2代码覆盖率
8.1.3功能覆盖率
8.2SystemVerilog的功能覆盖率
8.2.1覆盖组(covergroup)
8.2.2覆盖点(coverpoint)
8.2.3交叉覆盖点(cross)
8.3覆盖率驱动的验证平台
第9章断言
9.1断言的概念及作用
9.2SVA
9.2.1SVA的语法层次结构
9.2.2SVA应用实例
9.2.3bind
第10章验证重用与验证方法学
10.1验证重用中存在的问题
10.2验证方法学OVM
10.3OVM的四大核心技术
10.3.1基于Factory的验证平台动态构建
10.3.2动态的配置机制
10.3.3测试用例在验证架构的顶层
10.3.4激励产生与验证架构分离
第11章SystemVerilog与C语言的接口
11.1什么是DPI
11.2DPI的应用
11.2.1方法的导入
11.2.2方法的导出
11.2.3DPI的数据类型映射
11.2.4DPI的具体应用
附录A覆盖率内置参数和方法列表
附录B断言重复操作符和序列操作符列表
附录CQuestaSim简要介绍
附录D常用术语中英文对照
参考文献
后记
发表于 2010-9-26 23:26:00 | 显示全部楼层
发表于 2010-9-28 10:39:08 | 显示全部楼层
发表于 2010-10-3 14:24:44 | 显示全部楼层
不错
发表于 2010-10-16 10:06:34 | 显示全部楼层
建议各位不要买E文以外的技术类书籍,只知其然,不知其所以然。
 楼主| 发表于 2010-10-18 16:24:42 | 显示全部楼层
本帖最后由 bendrift 于 2010-10-23 00:01 编辑

在读者深入阅读本书之前,我先对本书的主要结构和内容做个简要的介绍,以便不同背景的读者能够有选择地阅读,快速获取自己需要的知识。
本书的结构和内容
本书分为11章,系统论述了基于SystemVerilog的功能验证方法,重点关注以下三个方面的内容。
功能验证在整个FPGA/ASIC设计流程中的作用及主要的验证技术和方法学。
SystemVerilog的语法结构和在功能验证上的应用及基本原理。
如何采用SystemVerilog搭建验证平台。
第1章从FPGA/ASIC整个设计流程的角度介绍功能验证的地位和作用、验证的基本流程、验证的主要技术和方法学,最后引入硬件验证语言SystemVerilog。
第2章介绍SystemVerilog相对于Verilog增加的数据类型、SystemVerilog的编程结构、流程控制和方法(任务/函数)等基本语法。
第3章介绍fork…join结构、并行进程之间的通信方法:mailbox/semaphore/event同步和互斥机制。
第4章介绍面向对象编程语言、类的基本概念、对象的创建、赋值与复制;如何采用类来封装事务处理器等验证组件和搭建验证平台。
第5章介绍虚接口,以及如何通过虚接口实现类的对象和设计模块的连接,实现事务处理器的可重用。
第6章介绍随机激励生成,其中重点讨论基于对象的约束随机激励产生机制、约束定义以及动态控制激励随机生成。
第7章介绍面向对象编程语言中的继承和多态。
第8章介绍覆盖率在验证流程中的作用、SystemVerilog功能覆盖率的语法,包括覆盖组、覆盖点和交叉覆盖点,以及如何实现一个覆盖率驱动验证平台。
第9章介绍断言在验证流程中的作用、断言的采用策略、SystemVerilog断言子集的语法结构以及如何通过bind结构实现断言与设计分离。
第10章介绍验证重用以及OVM验证方法学的核心技术:基于Factory的对象生成机制、动态参数配置、激励生成与验证架构分离以及测试用例在验证架构的顶层。
第11章介绍SystemVerilog和C语言的接口:DPI,重点介绍如何在SystemVerilog层面定义输入方法接口(SystemVerilog调用外部C程序)和输出方法接口(SystemVerilog程序输出供外部C调用),最后介绍DPI在验证中的作用。
从第4~9章,我们以石头、剪刀、布的仲裁器作为实例,并将各章中涉及的SystemVerilog重要语法和验证技术应用到验证平台搭建中,附有大量源代码供读者参考和练习。
如何阅读本书
本书的目标读者是FPGA/ASIC设计工程师和验证工程师、相关专业的在校本科生、研究生和老师。若具有一定的硬件描述语言(Verilog或者VHDL)和面向对象编程语言(如C++)的基础,将有助于对本书的阅读。

.想了解验证技术概况和验证方法学的读者,可以直接阅读第1章和第10章;对于普通读者,建议从第1章阅读到第6章;再根据自己的学习和工作需要,学习第7章以后的内容,这部分内容适合验证工程师和有一定验证经验的读者。有Verilog基础的读者,可以粗略浏览一下第2章的新增数据类型,SystemVerilog其他语法结构与Verilog基本类似;没有Verilog基础的读者,这一章需要认真阅读;想了解覆盖率驱动验证、断言或者DPI的读者可以直接阅读对应内容的第8章、第9章和第11章。
学习一门新语言的第一步是学会读懂代码,本书为每个例子提供了详尽的解释,并且每个例子都可以在仿真平台上运行。第二步是能够将学到的语法应用到自己的项目中,编写自己的程序。第三步是学会调试代码,调试代码是最艰苦和最具挑战性的工作。和大多数编程语言一样,SystemVerilog中基于面向对象编程结构的执行一般是不消耗物理时间的,是动态生成和析构的,为此在关键部位嵌入调试代码(如$display)将是最有效的方法。
EDA联盟网站www.edaunion.com是专业的技术论坛,为广大的工程师和读者提供了一个技术讨论的平台;各位读者可以在验证板块发帖讨论和交流自己学习过程中遇到的问题,分享自己的学习体会。本书的所有例子也可以从论坛中下载,若发现任何错误请告知我们。
希望本书能够为对SystemVerilog和功能验证技术感兴趣的读者提供一个入门的指南。
发表于 2010-10-19 00:48:14 | 显示全部楼层
thanks
发表于 2010-10-20 23:01:25 | 显示全部楼层
thx...........
发表于 2010-10-22 18:45:02 | 显示全部楼层
感觉不是很靠谱啊
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-24 16:46 , Processed in 0.038099 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表