在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1488|回复: 8

[求助] dc时编译不出延时

[复制链接]
发表于 2018-6-2 16:17:18 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我的verilog代码中定义了延时链,用两个反相器连接成子模块cell作为延时单元,dc后发现综合出来的结果为module lcell_0 ( A, Z );
  input A;
  output Z;
  wire   A;
  assign Z = A;

endmodule


module lcell_1 ( A, Z );
  input A;
  output Z;
  wire   A;
  assign Z = A;

endmodule


module lcell_2 ( A, Z );
  input A;
  output Z;
  wire   A;
  assign Z = A;

endmodule


module lcell_3 ( A, Z );
  input A;
  output Z;
  wire   A;
  assign Z = A;

endmodule


module lcell_4 ( A, Z );
  input A;
  output Z;
  wire   A;
  assign Z = A;

endmodule


module lcell_5 ( A, Z );
  input A;
  output Z;
  wire   A;
  assign Z = A;

endmodule


module lcell_6 ( A, Z );
  input A;
  output Z;
  wire   A;
  assign Z = A;

endmodule


module lcell_7 ( A, Z );
  input A;
  output Z;
  wire   A;
  assign Z = A;

endmodule


module lcell_8 ( A, Z );
  input A;
  output Z;
  wire   A;
  assign Z = A;

endmodule


module lcell_9 ( A, Z );
  input A;
  output Z;
  wire   A;
  assign Z = A;

endmodule

而且后仿真延时为0,用反相器搭应该有延时呀
发表于 2018-6-4 15:58:45 | 显示全部楼层
首先,你的模块都是assign z = a没看到反相器,第二,就算你用多个反相器搭,综合不加don't touch,会优化掉。

如果你实在想在综合的时候插入延时链,最好是直接在rtl里面例化lib里的buf cell,并且将你例化的cell设上don't touch。

最后,严重不推荐通过cell搭延时链
 楼主| 发表于 2018-6-7 21:51:19 | 显示全部楼层
回复 2# 南宫恨
你好,问题已经解决,请问用cell搭延时链有什么坏处吗?是不是稳定性较差呢
发表于 2018-6-9 09:00:13 | 显示全部楼层
回复 3# xiaozh


    精确的延时用cell搭稳定性一定很差,看你想做什么了
发表于 2018-6-11 13:22:34 | 显示全部楼层
回复 4# 南宫恨


    为啥?工艺库确定延时就应该是确定的吧
发表于 2018-6-11 14:30:43 | 显示全部楼层
延时跟输入和输出有关系,不是定值
发表于 2018-6-11 14:57:31 | 显示全部楼层
回复 5# wsyctot


    cell相对稳定,走线可不一定
发表于 2018-6-11 14:59:20 | 显示全部楼层
回复 5# wsyctot


    首先想一下为什么需要这个延时?功能要求?确实需要?再想一下怎么实现。
发表于 2018-6-12 09:25:45 | 显示全部楼层
回复 7# 南宫恨


    谢谢,明白了,估计楼主是搭着玩或者有什么地方时序稍稍不满足就像搭几个cell
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 17:06 , Processed in 0.025725 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表