在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2602|回复: 6

[资料] 串口发送模块——发送1字节数据

[复制链接]
发表于 2017-8-15 15:29:39 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x

设计思想与代码规范均借鉴明德扬至简设计法,有不足之处希望大家多提建议,真正做到至简设计。本篇着重提出FPGA通用设计思想,以计数器为核心的代码规范以及VIVADO debug操作流程。

  此次试验旨在通过串口试验,讲述FPGA的硬件设计思想和通用设计流程。串口是电子设计中非常常见,可以说掌握了串口数据收发,就明白了最基本的时序操作。串口的数据收发过程有其固定的数据格式。下面是本次实验使用的数据格式,在满足串口格式规范前提下是可变的:

图片14.png

  空闲状态下为高电平,当发送数据时,先发送低电平起始位,后从低位开始逐位发送有效数据比特,数据位位数由双方约定,此处设定为8位。可在数据位后添加数据校验位,但这不是必须的。发送完后发送高电平停止位并持续空闲状态直至下一次发送。虽然本次实验没有用到,但这里简要讲一下奇偶校验的原理:

  奇偶校验是一种非常简单常用的数据校验方式,分为奇校验和偶校验。奇校验需要保证传输的数据总共有奇数个逻辑高电平,若是偶校验则要保证传输的数据有偶数个逻辑高电平。即奇偶的意思就是数据中(包括该校验位)中1的个数。例如:传输的数据位是0100_0011。如果是奇校验,校验位是0,偶校验校验位是1

  在串口通信中,波特率是一个非常重要的概念。串口通信中常用的波特率是9600192003840057600115200。波特率是每个码元传输的速率,在二进制数据传输中,和比特率相同,都是每个比特数据传输的速率,其倒数为1bit数据的位宽,也就是1bit数据持续的时间。有了这一时间段,就可用FPGA构造计数器实现比特周期的延时,从而实现特定的数据传输波特率。

有了这些预备知识,我们开始设计串口发送模块。第一步要明确设计目的:要设计的模块功能当一个时钟周期使能信号有效时,将输入数据通过串口发送给PC机。后续可以通过fifo缓存数据,实现多个数据的发送。知道设计目的后,通常要开始根据大体功能进行模块划分,模块之间的接口定义以及各模块内部的硬件设计。本次实验只有一个模块,所以直接从模块接口定义开始。每个模块都要有必要的时钟和复位输入,另外串口发送模块需要确保数据不重复发送,因此要有发送使能信号。为了满足不同速率需求,需要波特率设定输入信号来选通不同的波特率。最重要的是待发送数据输入端口。发送侧要有数据串行输出端口和发送完成指示输出。

串口发送模块接口示意图如下:

图片2.png

现在开始模块内部功能的硬件实现。首先需要一个参数可变的分频计数器满足不同波特率要求。为此需要一个查找表结构对输入的波特率设定指令进行译码,改变计数器参数。然后要将数据进行并串转换可以通过一个比特位计数器控制数据选择器实现,这样可以将发送比特位数与待发送数据位数相对应。至于发送完成指示信号只需根据比特计数器的数值改变即可。在设计代码前先画出主要信号的时序波形图有助于理清思路:(此处假设比特计数器每个时钟周期计数一次便于画图)

图片3.png

  到目前为止最重要的设计工作已经做完了,接下来的代码编写也就没有任何难度可言。

串口发送模块代码:

`timescale
1ns
/
1ps

module uart_tx(


input clk,


input rst_n,


input
[2:0] baud_set,


input send_en,


input
[7:0] data_in,



output
reg data_out,


output tx_done


);



reg
[15:0] CYC;


reg
[15:0] cnt_div;


reg
[3:0] cnt_bit;


reg add_flag;



wire add_cnt_div;


wire end_cnt_div;


wire add_cnt_bit,end_cnt_bit;



//分频计数器


always@(posedge clk or
negedge rst_n)begin



if(!rst_n)




cnt_div <=
0;



else
if(add_cnt_div)begin




if(end_cnt_div)





cnt_div <=
0;




else





cnt_div <= cnt_div +
1'b1;



end


end



assign add_cnt_div = add_flag;


assign end_cnt_div = add_cnt_div && cnt_div == CYC -
1;



//比特位数计数器


always@(posedge clk or
negedge rst_n)begin



if(!rst_n)




cnt_bit <=
0;



else
if(add_cnt_bit)begin




if(end_cnt_bit)





cnt_bit <=
0;




else





cnt_bit <= cnt_bit +
1'b1;



end


end



assign add_cnt_bit = end_cnt_div;


assign end_cnt_bit = add_cnt_bit && cnt_bit ==
10
-
1;



//发送使能后分频计数器开始计数,直到将起始位、数据位、停止位发送完成为止


always@(posedge clk or
negedge rst_n)begin



if(!rst_n)




add_flag <=
0;



else
if(send_en)




add_flag <=
1;



else
if(end_cnt_bit)




add_flag <=
0;


end


//波特率查找表


always@(*)begin



case(baud_set)




3'b000:CYC  <=
20833;//9600




3'b001:CYC  <=
10417;//19200




3'b010:CYC  <=
5208;//38400




3'b011:CYC  <=
3472;//57600




3'b100:CYC  <=
1736;//115200




default:CYC <=
20833;//9600



endcase


end


//根据比特计数器得到对应比特位


always@(posedge clk or
negedge rst_n)begin



if(!rst_n)




data_out <=
1;



else
if(send_en)




data_out <=
0;



else
if(add_cnt_bit && cnt_bit >=
0
&& cnt_bit <
8)




data_out <= data_in[cnt_bit];



else
if((add_cnt_bit && cnt_bit ==
8)
|| end_cnt_bit)




data_out <=
1;//结束位或者空闲状态均为高电平


end



assign tx_done = end_cnt_bit;


endmodule


现编写测试激励,观察仿真波形是否与预期一致:

`timescale
1ns
/
1ps

module uart_tx_tb;


reg clk,rst_n;


reg
[2:0] baud_set;


reg send_en;


reg
[7:0] data_in;



wire data_out;


wire tx_done;



uart_tx uart_tx(


.clk(clk),


.rst_n(rst_n),


.baud_set(baud_set),//[2:0]


.send_en(send_en),


.data_in(data_in),//[7:0]



.data_out(data_out),


.tx_done(tx_done)


);



parameter CYCLE =
5,



      RST_TIME =
2;



initial
begin



clk =
0;



forever
#(CYCLE /
2) clk =
~clk;


end



initial
begin



rst_n =
1;



#1;



rst_n =
0;



#(CYCLE * RST_TIME);



rst_n =
1;


end



initial
begin



baud_set =
3'b000;



send_en =
0;



data_in =
0;



#1;



#(CYCLE * RST_TIME);



#(CYCLE *
10);



send_en =
1;



data_in =
8'b0101_0110;



#(CYCLE *
1);



send_en =
0;



#2_000_000;



$stop;


end

endmodule

仿真波形如下:

图片4.png

可以看出该模块真确将待发送数据8'b0101_0110 按照串口数据格式发送了出去,分频计数器计数完成后分别发送了0_0110_1010_1.此刻,串口发送模块逻辑功能验证完毕。为了在开发板中运行,添加按键消抖模块,将按键有效输出信号作为发送模块的发送使能,并建立顶层模块。按键消抖模块在上一篇博文中已详细讲述,仅稍作改动调用。下面是顶层模块:


`timescale
1ns
/
1ps



module send_data_top(


input sys_clk_p,


input sys_clk_n,


input rst_n,


input key,


output dout,


output tx_done_out


);


(*mark_debug =
"true"*)wire tx_done;


(*mark_debug =
"true"*)wire key_en;


// 差分时钟转单端时钟


// IBUFGDSIBUFG差分形式,当信号从一对差分全局时钟引脚输入时,必须使用IBUFGDS作为全局时钟输入缓冲


wire sys_clk_ibufg;

     IBUFGDS #


(


.DIFF_TERM ("FALSE"),


.IBUF_LOW_PWR ("FALSE")


)

     u_ibufg_sys_clk


(


.I (sys_clk_p),
//差分时钟的正端输入,需要和顶层模块的端口直接连接


.IB (sys_clk_n),
// 差分时钟的负端输入,需要和顶层模块的端口直接连接


.O (sys_clk_ibufg)
//时钟缓冲输出


);


    key_jitter key_jitter(


.clk(sys_clk_ibufg),


.rst_n(rst_n),


.key_i(key),


.key_vld(key_en)


);


     uart_tx uart_tx(


.clk(sys_clk_ibufg),


.rst_n(rst_n),


.baud_set(3'b000),//[2:0]


.send_en(key_en),


.data_in(8'h32),//[7:0]



.data_out(dout),


.tx_done(tx_done));



assign tx_done_out =
~tx_done;



endmodule

打开分析后的设计原理图,方便地观察设计整体结构:

图片5.png

  hdl代码设计完毕,后需添加约束文件,这里只需为每个端口添加对应的端口号和电平标准即可。注意:当某个信号为多个位时,在后边的方括号内需要用大括号把每一位信号括起来,如:set_property PACKAGE A5 [{led[0]}] 

图片6.png


仿真只是通过软件来模拟硬件的场景,尤其在只做了最理想情况下的行为仿真时,并不能完全的体现出所有硬件特性,这时就要进行在线调试,也就是使用嵌入式逻辑分析仪,直接抓取芯片内部真实运行的信号数值。它的基本原理是通过IP核的形式嵌入到FPGA芯片内部,不断将要观测数据存入RAM中,当触发条件有效时,停止检测并将信号数据以类似仿真波形的形式显示出来。那么如何选择所要观测的信号呢?观察上面的HDL代码会发现,某些信号定义之前有(*mark_debug = "true"*)。这就是抓取信号的方式,在信号定义之前加上这条语句之后,点击Run synthesis,并打开综合后的设计。打开调试界面,点击Set Up Debug 执行ILA调试IP核的生成向导。之前被标注的信号已经自动添加了进来,当然,你可以添加更多的需要观测的信号。



图片7.png

Run implementation并生成比特流后,打开硬件管理器,并自动连接开发板下载比特流。此时debug probles file也同时被加载进来:


图片8.png


下载完毕后debug界面自动打开:

图片9.png


  按照图中数字的顺序依次完成抓取模式设置,设置触发条件,启动触发,观测波形。2中设置key_en为高电平时启动触发,观察核心信号数据。

图片10.png

  可以看出key_en高电平后发送“0”。由于设置RAM深度太小,导致没有观察到串口数据完整格式。再次将触发条件改为tx_done高电平触发,并修改触发条件所在观测窗口的位置:

图片11.png

tx_done高电平之前比特计数器正确计数到9tx_done高电平之后一个时钟周期计数值变为0,证明内部逻辑功能正常运行。也可以自行回到综合后界面,再次打开Set Up Debug界面修改数据采样深度观察完整波形:

图片12.png

此时观察串口调试助手,设置好波特率和数据格式,将显示方式设定为16进制。打开串口后,按下按键并松手后,串口调试助手接收到一个8位数据,这里固定让其发送数字8'h32,以下是按两次按键收到的数据:

图片13.png

  到此,串口发送模块已设计完毕,将ILA IP核的标注和相关约束去掉可节省逻辑资源。


发表于 2017-8-15 17:26:43 | 显示全部楼层
感谢楼主分享!
发表于 2017-8-15 18:33:24 | 显示全部楼层
附件文件不存在或无法读入,请与管理员联系
发表于 2017-8-17 11:08:14 | 显示全部楼层
图片文件看不到
发表于 2017-8-17 13:16:25 | 显示全部楼层
回复 1# xuehua_12


    thanks for sharing
发表于 2017-8-17 13:17:48 | 显示全部楼层
thanks for sharing
发表于 2017-8-17 16:50:28 | 显示全部楼层
良心楼主
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-24 14:34 , Processed in 0.041936 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表