在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 11644|回复: 9

[解决] 求IUS92通过verdi2009 如何导出fsdb文件???????——(问题以解决)

[复制链接]
发表于 2012-11-7 16:26:26 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 清水一杯 于 2013-4-26 09:58 编辑

装了IUS92, verdi2009,按照网络上各种教程,脚本如下

ncvlog -f scripts/src.f

ncelab  tb -access wrc -timescale 1ns/1ps  -nospecify +loadpli1=debpli:/home/zhuanggl/EDA_soft/verdi/share/PLI/nc_latest/LINUX64/nc_loadpli1/debpli.so

运行后,提示认不到函数
$fsdbDumpfile("IMAGEA.fsdb");
                |
ncelab: *W,MISSYST (./testbench/ccd_all_TB.v,584|16): Unrecognized system task or function (did not match built-in or user-defined names) [2.7.4(IEEE Std 1364-2001)].
If item was defined in a shared-object library, the problem could be:
libvpi.so: cannot open shared object file: No such file or directory or file is not valid ELFCLASS32 library.
libpli.so: cannot open shared object file: No such file or directory or file is not valid ELFCLASS32 library..
    $fsdbDumpvars(0,tb.uut.top_i.OneD_i);
                |
ncelab: *W,MISSYST (./testbench/ccd_all_TB.v,585|16): Unrecognized system task or function (did not match built-in or user-defined names) [2.7.4(IEEE Std 1364-2001)].
    $fsdbDumpoff;
               |
ncelab: *W,MISSYST (./testbench/ccd_all_TB.v,591|15): Unrecognized system task or function (did not match built-in or user-defined names) [2.7.4(IEEE Std 1364-2001)].
    $fsdbDumpFinish;

已经解决。
我用IUS920,verdi2011, 虽然操作系统是64位,但是仿真器是32位,因此选择linux目录下,而非linux64目录下。
环境变量路径与库所在的路径不同。

1》设置变量路径:

export LD_LIBRARY_PATH= ……/verdi/share/PLI/lib/LINUXLD_LIBRARY_PATH


2》链接库

ncelab tb -access wrc -timescale 1ns/1ps -notimingchecks -loadpli1  "……/verdi/share/PLI/IUS/LINUX/boot/debpli.so":deb_PLIPtr

发表于 2012-11-8 00:20:46 | 显示全部楼层
我也不会  帮顶
发表于 2012-11-8 08:55:53 | 显示全部楼层
帮忙顶顶
 楼主| 发表于 2012-11-9 09:05:21 | 显示全部楼层
或者IUS92要跟verdi的哪个版本,才能到处fsdb文件?
发表于 2012-11-14 15:41:15 | 显示全部楼层
我曾经也遇到了同样的问题,最后在它自带的pdf文件中找到了答案,给你个参考吧。
1,你的pli或者vpi文件不要在ncelab里面指定。ncelab里面只需要-access +wrc +define+fsdb。到这里就可以了。
2,在你的环境变量设置文件里,如.cshrc文件里,加入如下代码:
setenv SHLIB_PATH /<install_dir>/share/PLI/ius5.8_vhpi/LINUX64/:LD_LIBRARY_PATH
setenv LD_LIBRARY_PATH /<install_dir>/share/PLI/ius5.8_vhpi/LINUX64/ :D_LIBRARY_PATH
3,在testbench中加入相关的dump函数,如
initial
begin
$fsdbDumpfile("case9.fsdb");
$fsdbDumpvars(0,**);
end
注意大小写不能搞错!
4,重新开启terminal,运行,你会发现,问题已经解决,fsdb文件已经产生!

大致情况就是这样,有可能你需要微调一下。


问题解决后,请在本贴标题加入问题已经解决,以便让遇到同样问题的朋友有个参考。
发表于 2013-4-21 14:55:27 | 显示全部楼层
学习一下
发表于 2013-4-21 20:08:14 | 显示全部楼层
libvpi.so: cannot open shared object file: No such file or directory or file is not valid ELFCLASS32 library.


很明显,你把64bit的libvpi.so换成32bit就可以了。
发表于 2017-1-25 21:21:37 | 显示全部楼层
感谢楼主分享!
发表于 2017-2-3 21:57:27 | 显示全部楼层
让更多的大牛看到
发表于 2017-9-27 10:35:28 | 显示全部楼层
同样问题,已解决; 感谢大神@tiangua
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-26 16:50 , Processed in 0.028352 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表