在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: ziven

[求助] 新人再次求助,最近在接触xilinx的KU系列板卡,用到了差分的时钟

[复制链接]
发表于 2018-8-24 11:57:21 | 显示全部楼层
调用ip,加约束
 楼主| 发表于 2018-8-24 14:53:58 | 显示全部楼层
回复 10# 谁枫而飘


   thank you very much
 楼主| 发表于 2018-8-30 14:04:03 | 显示全部楼层
回复 10# 谁枫而飘

非常感谢
发表于 2018-9-1 09:59:49 | 显示全部楼层
8楼和10楼正解,使用IBUFGDS即可
 楼主| 发表于 2018-9-4 12:15:44 | 显示全部楼层
回复 10# 谁枫而飘


   请问一下这个的时序约束应该怎么设置呢?   create_clock -period 4 [get_pins clk_u/O]
   吗?
   时钟是250MHz的
发表于 2018-9-4 15:40:37 | 显示全部楼层
应该用create_generate_clock -name clk250m -source [get_pins clk_u/I] [get_pins clk_u/O]吧
发表于 2018-9-4 15:41:47 | 显示全部楼层
不对,是差分时钟,没有约束过
 楼主| 发表于 2018-9-4 18:00:56 | 显示全部楼层
回复 16# 谁枫而飘


   我在xilinx简单约束了一下create_clock -period 4 [get_nets clk]
是可行的
发表于 2018-9-5 08:42:03 | 显示全部楼层
回复 18# ziven

你这样约束的是最初的时钟,你用的时钟是经过了buffer的,应该用create_generated_clock吧,我先去试一试再说吧
发表于 2018-9-5 11:25:10 | 显示全部楼层
回复 18# ziven


   这样约束吧,create_clock -name sys_clk [get_ports clk_in_p] -period 4,你那种时序分析的时候回忽略掉,pad到buffer的延时
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-8 23:29 , Processed in 0.025421 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表