在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
123
返回列表 发新帖
楼主: ziven

[求助] 新人再次求助,最近在接触xilinx的KU系列板卡,用到了差分的时钟

[复制链接]
 楼主| 发表于 2018-9-5 12:32:27 | 显示全部楼层
回复 20# 谁枫而飘


这样约束的话,cell中clk_u/O的pin脚还是时钟吗?你上面的好像声明的是clk_p是一个时钟,工具能认识到clk_u/O是一个时钟吗谢谢你的回复
发表于 2018-9-5 16:58:44 | 显示全部楼层
回复 21# ziven


   你随便写一个小程序试试就知道啦
 楼主| 发表于 2018-9-6 21:13:58 | 显示全部楼层
回复 20# 谁枫而飘


   谢谢,我试过你这种方法了,是可行的。感谢感谢
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-18 16:00 , Processed in 0.018000 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表