在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 10293|回复: 14

[求助] systemVerilog中logic与bit的区别问题

[复制链接]
发表于 2016-11-28 23:16:12 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
突然之间看了下手册,看到下面的一个想不通的问题。在顶层模块中要使用logic,而在其中一个模块中使用了bit数据类型。

234.png

456.png

我想请问一下logic和bit的区别在哪里。谢谢。
file:///C:\Users\Administrator\AppData\Roaming\Tencent\Users\1250544350\QQ\WinTemp\RichOle\YO`ED5LT532ZMZD__H`2~NL.png
file:///C:\Users\Administrator\AppData\Roaming\Tencent\Users\1250544350\QQ\WinTemp\RichOle\YO`ED5LT532ZMZD__H`2~NL.png
发表于 2016-11-29 10:30:13 | 显示全部楼层
IEEE Standard for SystemVerilog—Unified Hardware Design, Specification, and Verification Language

6.11.2 2-state (two-value) and 4-state (four-value) data types

Types that can have unknown and high-impedance values are called 4-state types. These are logic, reg, integer, and time. The other types do not have unknown values and are called 2-state types, for example, bit and int.
发表于 2016-11-29 13:09:22 | 显示全部楼层
回复 1# 天涯_0

logic是对DUT中的wire, reg最真实的模拟,都是四值,无符号。
而且该类型既可以传给wire又可以reg。 用起来很方便。 在传参数给DUT(数字电路)时尽量使用它。

bit是偏软件的概念。 二值变量类型。 可在远离硬件的应用层使用。
 楼主| 发表于 2016-11-29 18:14:59 | 显示全部楼层
回复 2# nativeda
thank you
 楼主| 发表于 2016-11-29 18:17:10 | 显示全部楼层
回复 3# ltshan

那用bit的可以用logic代替,而logic的确不能用bit替代。这个理解对吗?
发表于 2016-11-29 19:53:59 | 显示全部楼层
logic有0 1 x z 四种状态
bit 只有0 和 1 两种状态
发表于 2016-11-30 08:09:12 | 显示全部楼层
回复 5# 天涯_0

可以这么理解。 不过为什么在logic基础上再引入二值逻辑,主要原因还是要把验证的硬件layer和软件layer分开。  在软件世界里只要考虑二值逻辑,用bit的话效率更高。
发表于 2016-12-2 15:53:41 | 显示全部楼层
回复 5# 天涯_0

bit变量换成logic类型,变量初始值就变了,小心出问题
发表于 2016-12-5 10:37:45 | 显示全部楼层
回复 8# nativeda

会变成什么?x?
发表于 2016-12-5 11:22:41 | 显示全部楼层
没什么太大的区别,我一般仿真中能编译过就好了
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-22 03:38 , Processed in 0.022192 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表