在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 13637|回复: 14

[求助] modelsim仿真出现蓝色波形,数字为Z开头

[复制链接]
发表于 2016-6-12 15:53:21 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
对编写的模块进行测试时,激励信号正确,结果波形出现以下状况,请问大神如何解决?

modelsim仿真出错

modelsim仿真出错

分别有三个信号,错误相同,第一个为二进制显示,第二个为十进制显示,第三个为16进制显示,数字总是以z开头,而且波形为蓝色,感谢大神回答。
发表于 2016-6-12 16:22:03 | 显示全部楼层
不知道,高阻态?
 楼主| 发表于 2016-6-12 16:24:57 | 显示全部楼层
回复 2# fascinate_lyd98


   如果是高阻态说明不是仿真出错,而是模型本身存在问题
发表于 2016-6-12 16:56:08 | 显示全部楼层
仿真没有赋值?导致Z
发表于 2016-6-12 17:36:18 | 显示全部楼层
检查仿真环境  trace这个高阻的信号  一定有不正确的地方  看波形只有高位有z   那可能是高位没有连线,只连了低几位   某个地方连线位宽不匹配     也可以检查下modelsim的log文件 检查下warning
 楼主| 发表于 2016-6-13 15:59:25 | 显示全部楼层
回复 5# zhouchaozinc


   非常感谢,问题已经解决,通过警告找到了一个低级错误,十分感谢!
发表于 2016-6-13 23:43:58 | 显示全部楼层
位宽不对吧~
发表于 2016-12-19 11:06:22 | 显示全部楼层
请问会是什么原因呢,我也遇到类似的情况,连初始置位都不可以
发表于 2016-12-20 10:49:45 | 显示全部楼层
我也遇到过这种情况,都是我位宽不对或者testbench里没给赋值。
发表于 2016-12-22 13:21:31 | 显示全部楼层
检查代码,一般是连线的问题,
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-20 12:13 , Processed in 0.025915 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表