在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 7711|回复: 15

[求助] 请问如何用vcs仿真之前编译过的文件

[复制链接]
发表于 2015-7-29 17:15:28 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
聚聚们好,我最近在写vcs的脚本,由于DUT比较多又不会改了所以先拿出去编译了,所以脚本一开始编译tb,到仿真时卡住了,不知道怎么调用之前编译过的DUT来仿真。请问需要添加哪些参数?PS.我用的就是vcs编译,然后simv仿真
发表于 2015-7-29 18:23:48 | 显示全部楼层
vcs在正常编译后会生成simv命令,直接运行这个命令就行了,注意目录结构。

你说的卡住了什么意思,是仿真时间不推进还是仿真报错,如果是仿真不推进,是因为你的验证代码中有没带时间推进的死循环代码导致。
 楼主| 发表于 2015-7-30 09:08:26 | 显示全部楼层
回复 2# qyxu1979

抱歉,我可能没说清楚,我是想说我有一个“设计.v”还有一个“设计_TB.v”,我提前编译了“设计.v”,这个就放在这不动了,然后编译“设计_TB.v”,在仿真(./simv)时如何调用之前编译的“设计.v”的结果?
发表于 2015-7-30 15:04:02 | 显示全部楼层
你这是分布式编译,具体我没搞过,我都是一起编译的,也许你在编译最后一部分文件时把前面编译好的文件也带上一起编译就ok了,编译好的放在csrc文件夹中,里面可能有你需要的编译好的库。
 楼主| 发表于 2015-7-30 15:15:50 | 显示全部楼层
回复 4# qyxu1979

谢谢,我马上试试。其实就是想节省编译的时间才把设计的编译分出来的,TB模块少调用之前的编译结果会快很多。这种编译在Questa里面很容易就实现了,所以觉得vcs应该也不难,但是-help看了好几遍都没找到相应的参数
发表于 2015-7-30 15:26:49 | 显示全部楼层
直接问下vcs的客服,估计就是一个参数的问题
 楼主| 发表于 2015-7-30 16:23:08 | 显示全部楼层
本帖最后由 mndzjsjczex 于 2015-7-30 17:35 编辑

回复 6# qyxu1979

我们这用的破解的……这没法光明正大的问客服呀……
发表于 2015-7-31 08:29:05 | 显示全部楼层
个人感觉增量编译效果是一样的
 楼主| 发表于 2015-7-31 11:11:49 | 显示全部楼层
回复 8# luyaker

请问vcs增量编译是自动实现的么?还是说用了什么参数?
没了解过增量编译,增量编译的概念就是分步编译么,还是说就是不编译没有改动的源文件?
发表于 2015-7-31 13:34:30 | 显示全部楼层
-Mupdate  增量递增编译
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-21 22:23 , Processed in 0.019974 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表