在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2848|回复: 8

[求助] UVM 中的driver问题

[复制链接]
发表于 2015-6-2 16:09:55 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
task run_phase(uvm_phase phase);
      vif.cmd_en  <= 1'b0;
      vif.op      <= 1'b0;
      vif.addr    <= 8'b0;
      vif.wr_data <= 8'b0;
      while(!vif.rst_n)
         @(posedge vif.clk);
      while(1) begin
         seq_item_port.get_next_item(req);
     //begin_tr(req);   
         @(posedge vif.clk);
         vif.cmd_en  <= 1'b1;
         vif.wr_data <= ((req.op == R) ? 0 : req.wr_data);   
         vif.addr    <= req.addr;
         vif.op      <= ((req.op == R) ? 0 : 1);
         @(posedge vif.clk);
         vif.cmd_en  <= 1'b0;
         vif.wr_data <= 8'b0;
         vif.addr    <= 8'b0;
         vif.op      <= 1'b0;
     //end_tr(req);
         @(posedge vif.clk);
         if(req.op == R) begin //注意这里!!!
            req.rd_data = vif.rd_data;   
         end  
         seq_item_port.item_done();
      end
   endtask


这是driver的代码,我不明白的是数据不都是有sequence产生的吗?这里面怎么还有对iterface的驱动呢?
发表于 2015-6-14 18:56:44 | 显示全部楼层
driver的本职工作就是先从sequence中取数据,再按照相应总线行为把数据驱动到interface
 楼主| 发表于 2015-6-15 15:47:45 | 显示全部楼层
回复 2# 罗森内力

这个我知道,现在想明白了,当时是刚刚接触UVM,其实是这个代码写的不够规范,规范的代码driver只是起到传递数据的作用,对于数据的赋值都是sequence做的
发表于 2015-7-3 17:20:35 | 显示全部楼层
回复 3# 谁枫而飘


   赋值是在driver中做的吧,sequence只是负责产生数据。
 楼主| 发表于 2015-7-3 17:31:37 | 显示全部楼层
回复 4# allencherry

所谓的赋值难道不是数据吗?我的理解是这样的写法是可以,但是在实际中一般不会这样写,最好的是driver知负责传输,sequence才负责数据的产生
发表于 2015-7-7 09:40:57 | 显示全部楼层
回复 5# 谁枫而飘

你理解错了,这有点像monitor的功能,但是还是归为反馈模型比较合适。这时候sequence可以利用这个req做点事,把req给rsp也是可以的。况且有些情况下没有monitor, 把DUT上的输出赋值给req就可以拿输入输出做check了
发表于 2015-7-8 11:01:42 | 显示全部楼层
通过get_next_item抓到了SQR送过来的transaction, 在DRV中将数据从TLM层分解为bit级别,然后通过interface送给被测设计。
发表于 2015-7-11 22:21:22 | 显示全部楼层
Thank you for sharing
发表于 2015-7-22 17:02:51 | 显示全部楼层
1、你这个是典型的总线读写操作方法,且读写操作写到了一起。
2、从重用及可读性考虑还是读写(drv与mon)分开比较好
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-21 15:54 , Processed in 0.021039 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表