在线咨询 切换到宽版
eetop公众号 创芯大讲堂 创芯人才网

 找回密码
 注册

手机号码,快捷登录

手机号码,快捷登录

搜全文
查看: 3696|回复: 4

[求助] [已解决]求助,STA时发现某些cell的延迟异常的大,

[复制链接]
发表于 2012-5-22 22:01:56 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

×
本帖最后由 mikiahmikiah 于 2012-5-24 16:21 编辑

无标题.png
如图中U5,A端到Y端延迟317ns;触发器cnt_reg_1_时钟端CK到输出端Q延迟为1517;时钟周期为296.
不知道为什么会有这种情况出现,求教,求教。。。
发表于 2012-5-22 23:53:36 | 显示全部楼层
可能是clock net上fanout太大
你给的信息太少,可能的情况很多,上面只是其中之一
回复 支持 反对

使用道具 举报

 楼主| 发表于 2012-5-23 09:21:16 | 显示全部楼层
本帖最后由 mikiahmikiah 于 2012-5-23 11:56 编辑

回复 2# 陈涛
嗯,我查看了一下,clock net 上fanout就是很大172,有什么方法可以解决吗?
create_generated_clock -name CLK2 -source [get_ports clk] -divide_by 2 clkdiv/clk2
create_generated_clock -name CLK4 -source [get_ports clk] -divide_by 4 clkdiv/clk4
create_generated_clock -name CLK8 -source [get_ports clk] -divide_by 8 clkdiv/clk8
set_dont_touch_network CLK
set_dont_touch_network CLK2
set_dont_touch_network CLK4
set_dont_touch_network CLK8
set_false_path -from CLK2 -to CLK8
set_false_path -from CLK8 -to CLK2
set_false_path -from CLK8 -to CLK4
set_false_path -from CLK4 -to CLK8
这是关于generated clock的脚本,
当我加上set_ideal_network(下面三行脚本)后,就没有问题了,不知道是为什么?
set_ideal_network -no_propagate clk2
set_ideal_network -no_propagate clk4
set_ideal_network -no_propagate clk8
回复 支持 反对

使用道具 举报

发表于 2012-5-23 15:07:53 | 显示全部楼层
CTS之前要保留那个ideal net
原因去看那个命令的解释
回复 支持 反对

使用道具 举报

 楼主| 发表于 2012-5-23 15:35:29 | 显示全部楼层
回复 4# 陈涛


嗯,谢谢版主的回复。。。
回复 支持 反对

使用道具 举报

您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

手机版| 小黑屋| 关于我们| 联系我们| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2025-9-18 06:08 , Processed in 0.021346 second(s), 4 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表