在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 30058|回复: 69

[解决] modelsim 10.0c破解方法

[复制链接]
发表于 2011-12-23 16:31:48 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本人在三台服务器上都安装成功,提示,最好在安装是将所有的安装选项都勾上,以免出现意外。。
modelsim10.0c破解方法.docx (14.07 KB, 下载次数: 622 )
modelsim10.0c的安装教程:
windows安装:
1,安装modelsim10.0c
2,拷贝'win_patch_dll.bat'和'MentorKG.exe' 到/ModelSim_win32/这个目录下(其他文件都是无用文件)
3,双击win_patch_dll.bat会产生一个license.txt的license
4,修改环境变量指向这个license
linux安装:
linux的license的产生比较鸡肋,需要借助windows下安装了modelsim10.0c

1,用UE打开linux_patch_dll.bat,将里面的mac地址换成linux主机的。
2,拷贝'linux_patch_dll.bat'和'MentorKG.exe' 到安装好了的WINDOWS下的/ModelSim_win32/这个目录下双击linux_patch_dll.bat也会产生一个license.txt的license保存。这个LICENSE.TXT就是你linux主机下modelsim10.0c的license
3,用UE打开LICENSE.TXT修改前2行
#SERVER 主机名 主机mac 27001
#VENDOR mgcld /......../modelsim10.0c/modeltech/linux_x86_64/mgcld
把mgcld路径指向linux主机下的mgcld
4,把linux这个文件夹拷贝到modelsim10.0c/这个文件夹下面,之后修改环境变量如下:
#****************Modelsim10.0c*****************************
export LM_LICENSE_FILE=/EDA/Mentor/modelsim10.0c/LICENSE.dat
export MGLS_LICENSE_FILE=${LM_LICENSE_FILE}
export PATH=/EDA/Mentor/modelsim10.0c/modeltech/linux_x86_64PATH
export PATH=/EDA/Mentor/modelsim10.0c/linuxPATH
(注意:其中的路径要改成自己的)
5,重新打开终端,进入到modelsim10.0c/这个目录下,把sfk赋予root可读可写可执行权限,然后执行
]# sfk rep -yes -pat -bin /5589E557565381ECD00000008B5508/31C0C357565381ECD00000008B5508/ -dir .
等待其扫描结束
打vsim启动modelsim10.0c


另附安装程序下载地址http://bbs.eetop.cn/thread-304865-1-1.html
发表于 2011-12-25 00:02:28 | 显示全部楼层
Very good installation guide.
Thank you so much for your kindness
Good job!
发表于 2012-1-6 23:41:16 | 显示全部楼层
多谢楼主
发表于 2012-1-31 10:46:45 | 显示全部楼层
呵呵,感谢!!
发表于 2012-2-9 22:16:32 | 显示全部楼层
thanks for upload but from where i get the sfk file .
发表于 2012-2-11 10:39:56 | 显示全部楼层
看看!!
发表于 2012-2-27 15:25:11 | 显示全部楼层
楼主好人!!
发表于 2012-3-3 21:06:20 | 显示全部楼层
学学 ,谢谢!!!!
发表于 2012-3-4 11:58:27 | 显示全部楼层
支持~~~
发表于 2012-3-4 14:40:33 | 显示全部楼层
呵呵,楼主好人,资料很好。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-24 11:14 , Processed in 0.038906 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表