在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 7873|回复: 10

[原创] 求助,为什么我的modelsim编译总出现near EOF的错误

[复制链接]
发表于 2011-10-7 09:54:33 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
大哥,大姐帮帮忙
发表于 2011-10-7 11:22:45 | 显示全部楼层
把问题说的具体点
 楼主| 发表于 2011-10-7 13:14:34 | 显示全部楼层
回复 2# 110500623


    就是我再modelsim中运行编好是程序,编译总出现错误,而且错误都是
** Error: /data/home/tyt/12.vhd(2): near "EOF": expecting: ARCHITECTURE CONFIGURATION ENTITY LIBRARY PACKAGE USE


能帮忙解决下。程序是正确的,所有程序运行都这样
发表于 2011-10-8 13:21:58 | 显示全部楼层
就是文件结束EOF的附近有错误,你能确定程序是正确的吗?
发表于 2011-10-8 23:42:37 | 显示全部楼层
你这个是VHDL,我倒不熟悉。
verilog中遇到过这个问题,是因为少些了endmodule这个模块结束语了。
你是否也是同样的问题?
发表于 2011-10-9 12:48:02 | 显示全部楼层
回复 5# acgoal


    VHDL也有类似的问题存在的。所以需要他去确认代码的语法正确性。
 楼主| 发表于 2011-10-9 19:17:05 | 显示全部楼层
回复 4# gaurson


    可以肯定程序是正确的
 楼主| 发表于 2011-10-9 19:19:04 | 显示全部楼层
回复 6# gaurson


    我从书上抄个程序也是这样的错误
发表于 2011-10-9 19:33:04 | 显示全部楼层
你的modelsim是哪个型号的? 看看你的VHDL有包的声明么?
(例如:  
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
.....)

把你的 end entity entity_name 和 end architecture architecture_name中的entity_name 和 crchitecture_name去掉。
发表于 2011-10-9 19:45:59 | 显示全部楼层
我用verilog hdl写得时候出现过这个错误。最后的解决办法是把这个文件删了。重新输入一遍,注意在最后的一行输入的时候,用ENTER键换行。不该要空格的时候一定要空格。在verilog中这样能够解决。复制是原来的代码再粘贴不行哟。希望能够解决。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

X

小黑屋| 手机版| 关于我们| 联系我们| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2025-6-21 16:23 , Processed in 0.025256 second(s), 7 queries , Gzip On, MemCached On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表