安装结束后执行simvision出现
/home/eda/cds/XCELIUM2109/tools/bin/simvision: line 71: /home/eda/cds/XCELIUM2109/tools.lnx86/simvision/bin/svbits: No such file or directory
/home/eda/cds/XCELIUM2109/tools/bin/simvision: line 118: /home/eda/cds/XCELIUM2109/tools.lnx86/simvision/bin/simvision.exe: No such file or directory
查对应的文件夹下,是有这两个文件的
有遇到过的前辈吗?如何解决呢?
taiakun 发表于 2025-6-15 16:18
check path defines and make sure 64-bit path comes first , i.e puts tools/bin/64bit on the top of re ...
this is my .bashc
export INCISIV_HOME=$Cadence_Dir/XCELIUM2309
export LM_LICENSE_FILE=$Cadence_Dir/license/license.dat
export LD_LIBRARY_PATH=$LD_LIBRARY_PATHINCISIV_HOME/tools.lnx86/lib
alias irun="$INCISIV_HOME/tools/bin/irun"
alias simvision="$INCISIV_HOME/tools/bin/simvision -64 &"
alias simvision="$INCISIV_HOME/tools/bin/simvision"
alias ncvlog="$INCISIV_HOME/tools/bin/ncvlog"
alias ncsim="$INCISIV_HOME/tools/bin/ncvsim"
alias ncelab="$INCISIV_HOME/tools/bin/ncelab"
alias ncvhdl_p="$INCISIV_HOME/tools/bin/ncvhdl_p"
export SPECMAN_HOME=$INCISIV_HOME/components/sn
export SPECMAN_DIR=$SPECMAN_HOME/
export PATH="$PATHINCISIV_HOME/bin"
export PATH="$PATHINCISIV_HOME/tools.lnx86/bin"
export PATH="$PATH:$INCISIV_HOME/tools.lnx86/dfII/bin"