在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 259|回复: 10

XCELIUM安装遇到的问题

[复制链接]
发表于 昨天 11:44 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x

安装结束后执行simvision出现
/home/eda/cds/XCELIUM2109/tools/bin/simvision: line 71: /home/eda/cds/XCELIUM2109/tools.lnx86/simvision/bin/svbits: No such file or directory

/home/eda/cds/XCELIUM2109/tools/bin/simvision: line 118: /home/eda/cds/XCELIUM2109/tools.lnx86/simvision/bin/simvision.exe: No such file or directory
查对应的文件夹下,是有这两个文件的
有遇到过的前辈吗?如何解决呢?
 楼主| 发表于 昨天 17:15 | 显示全部楼层
本帖最后由 ICdesign101 于 2025-6-15 20:21 编辑

问题已经解决,安装glibc-2.28-164.el8.i686即可(Redhat8.10版本)
发表于 昨天 14:24 | 显示全部楼层
what distro xcelium runs on? also make sure u ran the 64-bit binary
 楼主| 发表于 昨天 15:22 | 显示全部楼层


taiakun 发表于 2025-6-15 14:24
what distro xcelium runs on? also make sure u ran the 64-bit binary


redhat8.10
发表于 昨天 16:18 | 显示全部楼层
check path defines and make sure 64-bit path comes first , i.e puts tools/bin/64bit on the top of rest path
 楼主| 发表于 昨天 16:48 | 显示全部楼层


taiakun 发表于 2025-6-15 16:18
check path defines and make sure 64-bit path comes first , i.e puts tools/bin/64bit on the top of re ...


this is my .bashc

export INCISIV_HOME=$Cadence_Dir/XCELIUM2309
export LM_LICENSE_FILE=$Cadence_Dir/license/license.dat
export LD_LIBRARY_PATH=$LD_LIBRARY_PATHINCISIV_HOME/tools.lnx86/lib
alias irun="$INCISIV_HOME/tools/bin/irun"
alias simvision="$INCISIV_HOME/tools/bin/simvision -64 &"
alias simvision="$INCISIV_HOME/tools/bin/simvision"
alias ncvlog="$INCISIV_HOME/tools/bin/ncvlog"
alias ncsim="$INCISIV_HOME/tools/bin/ncvsim"
alias ncelab="$INCISIV_HOME/tools/bin/ncelab"
alias ncvhdl_p="$INCISIV_HOME/tools/bin/ncvhdl_p"
export SPECMAN_HOME=$INCISIV_HOME/components/sn
export SPECMAN_DIR=$SPECMAN_HOME/
export PATH="$PATHINCISIV_HOME/bin"
export PATH="$PATHINCISIV_HOME/tools.lnx86/bin"
export PATH="$PATH:$INCISIV_HOME/tools.lnx86/dfII/bin"

发表于 昨天 16:57 | 显示全部楼层
你在 .bashrc 里设定的环境变量 INCISIVE_HOME 指向的是 XCELIUM2309 , 为啥你执行 simvision 的时候指向的是 XCELIUM2019 的一个路径?还是PATH 没有设置对。等你source .basrhc 之后,用 echo $PATH 来看看实际的PATH的搜索路径是怎么个顺序,如果在靠前顺序的路径里就有 simvision,那你执行的时候就是匹配不到后面的 XCELIUM2309 里的 simvision
 楼主| 发表于 昨天 17:04 | 显示全部楼层


talangerlai 发表于 2025-6-15 16:57
你在 .bashrc 里设定的环境变量 INCISIVE_HOME 指向的是 XCELIUM2309 , 为啥你执行 simvision 的时候指向的 ...


你好,感谢回复

我安装了2109/2309,运行报错相同;刚刚失误提供了切到2309的bshell,指向没问题
发表于 昨天 17:16 | 显示全部楼层
just makes sure $xlm_inst_dir/tools/bin/64bit comes first while u define the path
 楼主| 发表于 昨天 18:22 | 显示全部楼层


taiakun 发表于 2025-6-15 17:16
just makes sure $xlm_inst_dir/tools/bin/64bit comes first while u define the path


ok,thank you very much
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2025-6-16 21:20 , Processed in 0.023517 second(s), 9 queries , Gzip On, MemCached On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表