在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 786|回复: 3

[求助] VIVADO工程差分时钟引脚无法约束

[复制链接]
发表于 2025-3-13 19:59:58 | 显示全部楼层 |阅读模式
50资产
问题描述:我在做一个vivado工程的时候,进行imolementaion报了严重警告:


  • [Vivado 12-1411] Cannot set LOC property of ports, clk_125mhz_ibufg_inst_1 Illegal to place instance clk_125mhz_ibufg_inst_1 on site C8. The location site type (IPAD) and bel type (BUFFER) do not match the cell type (IBUFDS). Instance clk_125mhz_ibufg_inst_1 belongs to a shape with reference instance clk_125mhz_ibufg_inst_1. Shape elements have relative placement respect to each other. The invalid location might results from a constraint on any of the instance in the shape. ["E:/git_project/git_project.srcs/constrs_1/new/fast_os.xdc":26]  
    检查我的引脚,我是按照原理图约束的,原理图请见(图1).但是vivado的I/OPorts里面好像并没有这个引脚,导致我的差分时钟(serdes_refclk_p)一直无法绑定,请见(图2)(图中根本就没有支持C8这个引脚)。关于差分时钟的的约束文件如下:
  • # SGMII & 125 MHz CLOCK
  • set_property PACKAGE_PIN C8 [get_ports serdes_refclk_p]
  • set_property DIFF_TERM true [get_ports serdes_refclk_p]
  • #set_property IOSTANDARD LVDS [get_ports serdes_refclk_p]
  • create_clock -period 8.000 -name serdes_refclk_p -waveform {0.000 4.000} [get_ports {serdes_refclk_p}
    工程截图:

                                   
    登录/注册后可看大图


                                   
    登录/注册后可看大图

    有没有大佬有想法给点启示?

 楼主| 发表于 2025-3-26 11:35:07 | 显示全部楼层
已经解决,是1G/1.25G IP核中应该启用高速收发器模式,而不能用LVDS
发表于 2025-3-24 13:18:32 | 显示全部楼层
看这个报告的意思应该是你在工程里时钟用的bufg,但是这是gt时钟,应该用bufg_gt。是工程做的不对,和硬件不匹配,不是引脚分配有问题。
发表于 2025-3-24 17:16:36 | 显示全部楼层
C8是肯定有的,甚至这个图二的引脚图放大来看就可以找到。
不过看这个报告似乎是C8处没有IBUFDS的cell?
有可能是这个位置对应的是专用IP口子——你可以找下应该有个gt_wizard ultrascale trans之类名字的IP,
里面可以找找这个bank118是不是在里面。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2025-5-8 22:39 , Processed in 0.055105 second(s), 9 queries , Gzip On, MemCached On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表