506| 2
|
[求助] 差分时钟及PLL输出时钟约束 |
500资产
最佳答案差分时钟只定义在P端口即可
create_clock -period 100 -name ref_clk -waveform {0 50} [get_ports P]
PLL生成时钟在IC里一般都是认为与reference clock异步的,直接在输出pin使用create_clock即可;
create_clock -period 100 -name pll_clk -waveform {0 50} [get_pins PLL/CLKOUT]
如果在FPGA里与reference clock做成同步,那就是create_generated_clock:
create_generated_clock -name pll_clk -source [get_ports P] ...
| |||
|
|||
发表于 2024-9-10 13:55:12
|
显示全部楼层
| ||
| ||
|
||