在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 229|回复: 5

[求助] create_generated_clock在CTS时的表现

[复制链接]
发表于 2024-8-26 14:11:37 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
若设计中存在分频时钟,sdc定义了create_generated_clock,看到有资料说:在后端设计CTS阶段,生成分频时钟的D触发器的CK端会作为through pin,不会进行balance,那么工具会把后级的哪个ck端认为是sink pin呢?在上述情况下,一般要进行哪些额外设置来进行CTS呢?
发表于 2024-8-26 15:29:48 | 显示全部楼层
同问,,帖子顶起来
发表于 2024-8-26 18:41:30 | 显示全部楼层
这个分频器下游的所有寄存器及IP
 楼主| 发表于 2024-8-27 17:37:42 | 显示全部楼层


zero_0 发表于 2024-8-26 18:41
这个分频器下游的所有寄存器及IP


请问这样的话,是不是分两种情况,如果分频时钟和主时钟之间不存在timing check,应该通过设置把分频的触发器CK点设为sink pin,如果存在timing check,就不用进行额外设置呢,是这样理解吗
发表于 2024-8-28 09:59:57 | 显示全部楼层
第一版跑什么都不用设,时钟树会穿过分频时钟,sink点为分频时钟后面寄存器的CK端。跑完之后,当你发现定义分频时钟的寄存器和其他sink点有timing check,并且时序违例大无法MET,再去调sink点的时钟长度;不要轻易去调generate clk定义点的那个寄存器,否则会导致它后面连的所有sink点发生变化;你多跑几版看看就知道了。
 楼主| 发表于 2024-8-28 11:27:51 | 显示全部楼层


cxksyue 发表于 2024-8-28 09:59
第一版跑什么都不用设,时钟树会穿过分频时钟,sink点为分频时钟后面寄存器的CK端。跑完之后,当你发现定义 ...


好的 谢谢
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-17 04:10 , Processed in 0.017839 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表