在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 217|回复: 1

[求助] uvm transaction中怎么使用工厂机制注册结构体?

[复制链接]
发表于 2024-6-11 17:35:48 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
type struct packed{
    logic [7:0] xxx,
    logic [7:0] xxx,
    ................

} s_P



class transaction extends uvm_sequence_item;
   ...
   int         data;
   s_p        Info;
   ...
   
   `uvm_object_utils_begin(transaction)
         `uvm_field_int(data, UVM_ALL_ON);
          `uvm_field_***;
   `uvm_object_uylis_end
endclass

请问有什么方法可以注册结构体呢?貌似没有相关的宏
发表于 2024-6-12 17:23:58 | 显示全部楼层
结构体、int、bit[xxx]、 logic[xxx] 、这些什么都当作int就行了,工厂注册,主要是为了一些copy,compare,print 这些操作,这些copy ,compare,print 没什么区别
不同是队列、数组,类这些,例如队列copy可以直接一个 等号赋值,但是compare 需要遍历,print 也需要遍历
这个和上面提的int 数是不同的,所以要用 que array 的 field 宏注册
注册的宏可以仔细看一下源码,不难理解
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-7-27 16:33 , Processed in 0.012944 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表