在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 311|回复: 7

[求助] 关于vcs后仿真部分功能异常问题

[复制链接]
发表于 2024-5-29 09:31:04 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 njupt_nzt 于 2024-5-29 10:19 编辑

请问一下,在vcs中进行数字版图后仿真时,为什么有些逻辑功能是正常的,而有些是未知态,这是什么原因造成的?
run.log里边有些违例,有点看不明白


"scc018v3ebcd_uhd_rvt.v", 10827: Timing violation in output_interface_tb.out1.UVW1.R1_REG
    $hold( posedge CK &&& (ENABLE_RDN == 1'b1):70010, posedge D &&& (ENABLE_RDN == 1'b1):70010, limit: 10 );

"scc018v3ebcd_uhd_rvt.v", 10827: Timing violation in output_interface_tb.out1.ABZ1.R1_REG
    $hold( posedge CK &&& (ENABLE_RDN == 1'b1):70010, posedge D &&& (ENABLE_RDN == 1'b1):70010, limit: 10 );


"scc018v3ebcd_uhd_rvt.v", 10824: Timing violation in output_interface_tb.out1.ABZ1.R1_REG
    $hold( posedge CK &&& (ENABLE_RDN == 1'b1):138870010, negedge D &&& (ENABLE_RDN == 1'b1):138870010, limit: 10 );


"scc018v3ebcd_uhd_rvt.v", 10827: Timing violation in output_interface_tb.out1.ABZ1.R1_REG
    $hold( posedge CK &&& (ENABLE_RDN == 1'b1):277670010, posedge D &&& (ENABLE_RDN == 1'b1):277670010, limit: 10 );


"scc018v3ebcd_uhd_rvt.v", 10824: Timing violation in output_interface_tb.out1.ABZ1.R1_REG
    $hold( posedge CK &&& (ENABLE_RDN == 1'b1):416470010, negedge D &&& (ENABLE_RDN == 1'b1):416470010, limit: 10 );



后仿问题4.jpg
发表于 2024-5-29 19:16:16 | 显示全部楼层
sdf没反标上吧,看下log,从报告来看,d和clk同一个时间变化,延迟根本没反标上
 楼主| 发表于 2024-6-2 18:39:23 | 显示全部楼层


lolina 发表于 2024-5-29 19:16
sdf没反标上吧,看下log,从报告来看,d和clk同一个时间变化,延迟根本没反标上 ...


您好,我用的是下边这个图里的写法在tb.v中插入sdf反标信息的,不知道这种写法是否正确?那我现在这种未知态问题的话,正确的反标操作应该是什么样的呢?
tb.jpg
发表于 2024-6-3 21:20:31 | 显示全部楼层
感谢分享
发表于 2024-6-3 22:41:32 | 显示全部楼层


njupt_nzt 发表于 2024-6-2 04:39
您好,我用的是下边这个图里的写法在tb.v中插入sdf反标信息的,不知道这种写法是否正确?那我现在这种未 ...


供参考:后仿 SDF 反标 - jake的日志 - EETOP 创芯网论坛 (原名:电子顶级开发网) -
假设你的testbench是output_interface_tb,你的DUT是out1,
$sdf_annotate("full_path_to_your_sdf", output_interface_tb.out1, , "./out1_sdf.log", "MAXIMUM");
这里要注意的是与sdf对应的层级

 楼主| 发表于 2024-6-4 15:16:05 | 显示全部楼层


jake 发表于 2024-6-3 22:41
供参考:后仿 SDF 反标 - jake的日志 - EETOP 创芯网论坛 (原名:电子顶级开发网) -
假设你的testbench是 ...


感谢感谢
发表于 2024-6-12 16:12:33 | 显示全部楼层


njupt_nzt 发表于 2024-6-2 18:39
您好,我用的是下边这个图里的写法在tb.v中插入sdf反标信息的,不知道这种写法是否正确?那我现在这种未 ...


把sdf annotated的第四个参数 log文件名带上,然后打开log看一眼就行,有任何错误log里面都会显示的
 楼主| 发表于 2024-6-19 10:06:45 | 显示全部楼层


jake 发表于 2024-6-3 22:41
供参考:后仿 SDF 反标 - jake的日志 - EETOP 创芯网论坛 (原名:电子顶级开发网) -
假设你的testbench是 ...


请问一下我在vcs的dve运行命令行输入-DEFINE GLS_SDF -DEFINE SDF_MAX,但是它提示我unknown option '-DEFINE GLS_SDF -DEFINE SDF_MAX',这是什么问题
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-28 08:59 , Processed in 0.102147 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表