在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 165|回复: 3

[求助] 请问怎么产生这种信号的脉冲信号

[复制链接]
发表于 2024-4-20 10:25:06 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请问我想产生这样一个电压信号在

cadence中可以通过VPLUSE 或者vpwl得到吗
%LJ59CBQ@FVB23DLNFM1GGF.jpg
发表于 2024-4-20 10:48:37 | 显示全部楼层
用这个信号的2倍频,通过做一个这样的频率的完整时钟,再用2倍频做一个计数器每4个周期出一个pulse的时钟。然后这俩时钟做一下组合逻辑。注意在组合逻辑边缘deglitch。
发表于 2024-4-20 10:52:07 | 显示全部楼层
哦,我再想想,用原时钟频率也行。
不用2倍频。
发表于 2024-4-20 13:20:29 | 显示全部楼层
vbit试试
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-3 18:17 , Processed in 0.019767 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表