在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 361|回复: 1

[转贴] abc

[复制链接]
发表于 2024-1-18 13:06:06 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
根据dwc_ddr54_phy_v2_tsmc6ff18/1.30a package dwc_ddr54_phy_ig.pdf 中section 9.4.2 Special Checks的描述,
1.        Checklist 9-9中提到了需要review PLL jitter spreadsheet中expected DfiClk latency与SOC PLL characteristics,所以请帮忙提供一下PLL jitter spreadsheet文档。或是由我们提供需要review的SOC PLL参数(DfiClk由SOC PLL提供),请Synopsys帮我们review。
2.        Checklist 9-10中提到了DfiClk从PHY port到macro port的clock latency会影响read/write fifo,需要review programming的system latency特别是要review programming ARdPtrInitVal的。我们需要review要用到的具体criteria,以及是否需要加上SOC PLL到PHY DfiClk port的latency以及programming时SOC bus上的latency。

According to the Section 9.4.2 Special Checks in dwc_ddr54_phy_ig.pdf file in dwc_ddr54_phy_v2_tsmc6ff18/1.30a PHY package,
1.        On Checklist 9-9, it says that the noise, jitter and latency from the source PLL will affect the performance on the DRAM CK. Review the functional impact of the expected DfiClk latency and SoC PLL characteristics in the PLL jitter spreadsheet to ensure the correct performance. Please help to provide the PLL jitter spreadsheet, or we can provide the SOC PLL characteristics (since DfiClk provided by SOC PLL) and Synopsys will help us review it.
2.        On Checklist 9-10, the DfiClk clock tree latency from PHY ports to hard-macro DfiClk pins affects the read and write FIFO. Review the programming of the system latency and specifically the programming of the ARdPtrInitVal as described in the PUB Databook [section ARdPtrInitVal Impacts From Construction Skews]. So, we want to know the detailed criteria for review. And whether we need add the clock latency from SOC PLL to PHY DfiClk port and the SOC bus latency during programming.


 楼主| 发表于 2024-1-19 20:22:05 来自手机 | 显示全部楼层
本帖最后由 lingqinzi 于 2024-1-19 20:23 编辑

麻烦管理员帮忙删帖。错误发出来的。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 01:09 , Processed in 0.016257 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表