在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 435|回复: 3

[求助] 用DC进行逻辑综合的时候输入check_timing报错

[复制链接]
发表于 2024-1-11 21:48:23 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
报错如下信息
Error: Can't find the specified library 'NangateOpenCellLibrary_slow_ecsm.db:NangateOpenCellLibrary' in memory. (UID-131)
Error: Can't find the specified library 'NangateOpenCellLibrary_slow_ecsm.db:NangateOpenCellLibrary' in memory. (UID-131)

然后我将.synopsys_dc.setup中的target library 和 link library从ccs改成ecsm之后就变成如下报错

Error: Can't find the specified library 'NangateOpenCellLibrary_slow_ccs.db:NangateOpenCellLibrary' in memory. (UID-131)
Error: Can't find the specified library 'NangateOpenCellLibrary_slow_ccs.db:NangateOpenCellLibrary' in memory. (UID-131)

当且仅当我将target library 和 link library同时用ccs和ecsm才不会报错
这是什么原因呢?

 楼主| 发表于 2024-1-22 11:14:10 | 显示全部楼层
问题已解决,只需要删一下DC的缓存文件夹就行了
发表于 2024-1-22 11:58:17 | 显示全部楼层


thrallzy 发表于 2024-1-22 11:14
问题已解决,只需要删一下DC的缓存文件夹就行了


楼主大大请问下您用的DC版本是什么啊?我用19版的没有碰到过这个问题
 楼主| 发表于 2024-1-22 14:35:12 | 显示全部楼层


彼得潘多拉皮条 发表于 2024-1-22 11:58
楼主大大请问下您用的DC版本是什么啊?我用19版的没有碰到过这个问题


我的是18的,我删一下它那个缓存文件 就没问题了
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-9 21:25 , Processed in 0.018437 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表