在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 459|回复: 0

[求助] uvm组件编译问题

[复制链接]
发表于 2023-11-30 17:34:59 | 显示全部楼层 |阅读模式
50资产



   求助下大佬,

           为了uvm的组件编译方便,想写成如下的格式,命名为tb_class.sv,然后组件只编译这个文件就可以了(incdir添加组件所在目录)。
           现在问题是,单独编译一个用例没有问题,多用例并行仿真的时候,有时会出错(概率约10%左右吧),就是编译到这个tb_class.sv时,经常会有部分仿真任务不对里面的组件展开编译,请问这个该如何处理,拜谢拜谢
68b8bdd3ea9f32b03b4f6db819c8406.png

您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 16:47 , Processed in 0.020155 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表