在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 530|回复: 4

[求助] 小白提问:scoreboard中如何收集expected_transaction和actual_transaction

[复制链接]
发表于 2023-9-2 16:58:32 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
  最近在用primer一书中的tinyalu练习写验证平台,由于reference model和dut处理激励所需的时间不同,scoreboard需要同步两种transaction的机制。

  之前使用的是UVM实战一书中提供的方法,动态数组存放expected transaction,之后再与actual transaction进行比较。
  这里想知道是否还有其他的方法?(实际上是不清楚UVM中各种port、export和fifo在这方面的用法,希望大佬指点,谢谢!)
 楼主| 发表于 2023-9-2 17:04:11 | 显示全部楼层
希望另寻他法的原因还有动态数组的方法,需要expected transaction比actual transaction先一步来到scoreboard的限制。
发表于 2023-9-7 14:34:28 | 显示全部楼层
fork-join等两个都拿到以后再对比也是可以的
 楼主| 发表于 2023-9-8 15:29:05 | 显示全部楼层


kang98253 发表于 2023-9-7 14:34
fork-join等两个都拿到以后再对比也是可以的


谢谢您的回答,之前尝试用两个FIFO连接用于同步,结果scoreboard中的transaction总是错位。后来才发现原因是interface中的clocking block加入的延时导致的验证平台时序问题,汗颜。
发表于 2023-9-10 20:46:17 | 显示全部楼层
1、如果是保序比对,完全可以等到exp 和act都收集到了再比对,因为exp和act是一一对应的
2、如果是乱序的,那么需要让exp先到达,每次收集到一个act之后找到对应的exp去比对
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 21:01 , Processed in 0.027645 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表