在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 460|回复: 2

[求助] top层为什么setcfg可以在run_test之前

[复制链接]
发表于 2023-8-21 11:22:53 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
set cfgdb其中第1第2个参数是传递的路径,但是路径在没run_test之前不是不存在吗?
发表于 2023-8-21 13:45:58 | 显示全部楼层
config_db本质上是一个具备可配数据类型的联合数组,uvm_config_db#(typeA)::set(comp, str1,str2, value)相当于创建了一个类型为typeA的联合数组,key = {comp.get_full_name(),str1,str2} 数组的值为value,而get则是通过key来获取联合数组的值。
ps:如果看source code,实际的config db的匹配机制是比上述更复杂,但是理解上述匹配即可
可以根据上述机制来思考下你的问题
发表于 2023-8-21 18:37:01 | 显示全部楼层
你set的东西存储在configdb的静态关联数组中,启不启动test都没关系,你不runtest,直接再tbtop里get都没问题,两者是解耦的,所以你set的时候第一个参数基本都写的null,就算你填了top,这个时候其实和null是一样的
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 03:45 , Processed in 0.025020 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表