|
发表于 2008-3-11 20:54:06
|
显示全部楼层
发个格式的说明的例子吧,我们平时当作模版用的:
<>为可修改内容
create_clock -name <clk_name> -period <value> [find port <port_name> ]
set_clock_uncertainty -setup value <clk_name>
#Clock之间的的false path设定(如果有就设,没有的话不要设。下面的是示例,不是实际设定。实际设定请向电路设计者咨询)
set_false_path -from [get_clocks <CLK_name>] -to [get_clocks <CLK_name> ]
#设置数据传输路径的transition(缺省单位:ns),具体数值更具需要调整
set_max_transition 0.7 [current_design]
#设置Clock Transition(缺省单位:ns),根据需要调整数值
set_clock_transition 0.7 [get_clocks <CLK_Name>]
#设置input transition(缺省单位:ns),根据需要调整数值
set_input_transition 0.7 [get_ports <port_name>]
#设置setup分析使用的IO delay(缺省单位:ns),根据需要调整数值
set_input_delay 6 -clock [get_clocks <clk_name>] -min -add_delay [get_ports <port_name>] |
|