在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 8384|回复: 12

关于astro的cts问题

[复制链接]
发表于 2008-1-24 08:44:39 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请教各位高手几个问题!

1:astro里有像encounter里那样的ctsch时钟树文件吗?定义好各个起点做时钟树就可以了,

2:还有astro里有encounter里那样的through_pin吗?就是我要穿过某个pin,如图中,我在clk1,创建时钟,但是为什么在astro里clk1没有穿过与门,对clk2进行布时钟树呢?我的gated clock tree是turn on的?
谢谢
clk.bmp
发表于 2008-1-25 10:22:27 | 显示全部楼层
ding
顶一个
发表于 2008-1-31 15:58:32 | 显示全部楼层

帮忙顶上去

帮忙顶上去
发表于 2008-3-11 20:44:18 | 显示全部楼层
有的,需要在SDC文件中定义时钟信号,然后导入SDC文件就可以啦。
SDC的格式和DC PT格式差不多,但是好像有很多语法不支持。
希望有些帮助啊!
发表于 2008-3-11 20:46:57 | 显示全部楼层
补充一句,SDC文件需要用手写,语法你可以下载相关SDC文件格式,synop公司的格式都差不多的。
发表于 2008-3-11 20:54:06 | 显示全部楼层
发个格式的说明的例子吧,我们平时当作模版用的:

<>为可修改内容

create_clock -name <clk_name>  -period <value> [find port <port_name> ]
set_clock_uncertainty -setup value <clk_name>

#Clock之间的的false path设定(如果有就设,没有的话不要设。下面的是示例,不是实际设定。实际设定请向电路设计者咨询)
set_false_path -from [get_clocks <CLK_name>] -to [get_clocks <CLK_name> ]

#设置数据传输路径的transition(缺省单位:ns),具体数值更具需要调整
set_max_transition 0.7 [current_design]
#设置Clock Transition(缺省单位:ns),根据需要调整数值
set_clock_transition 0.7 [get_clocks <CLK_Name>]


#设置input transition(缺省单位:ns),根据需要调整数值
set_input_transition 0.7 [get_ports <port_name>]


#设置setup分析使用的IO delay(缺省单位:ns),根据需要调整数值
set_input_delay 6 -clock [get_clocks <clk_name>] -min -add_delay [get_ports <port_name>]
 楼主| 发表于 2008-3-18 10:55:23 | 显示全部楼层


原帖由 ilikeeatingcake 于 2008-3-11 20:54 发表
发个格式的说明的例子吧,我们平时当作模版用的:

为可修改内容

create_clock -name   -period  [find port  ]
set_clock_uncertainty -setup value  

#Clock之间的的false path设定(如果有就设,没有的话 ...



非常感谢你的回答
学习了,我也想在sdc里修改,不过我只是对reset信号进行了平衡
发表于 2008-4-17 09:29:36 | 显示全部楼层
似乎在astro中对于CT的规定,其stop pin分为sync pin与ignore pin,你说的那种情况是不是被默认为implicit ignore pin了?如果是的话,需要单独用命令式之成为explcit sync pin。
另:astClockOptions中,clock gated tree 是否选中了呢?
发表于 2009-5-14 00:29:22 | 显示全部楼层
顶,很好
发表于 2009-5-14 07:20:11 | 显示全部楼层
astro 里面cts 可以单独写一个sdc,

即包含所有 clock 定义, clock之间 的关系的 , clock latency

astro还有 sync pin / ignore pin的 区分,用 dbdefineSyncpin  dbdefineignorepin来定义


你说的那种情况

看看那个gate的一端有没有 set_case_analaysis , set_disable_timing  的定义

实在不行, 就直接 在clk 2  那个点 创建 clock tree
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条


小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-11-29 13:41 , Processed in 0.028270 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表