在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1287|回复: 4

[求助] vcs编译报错make[1]: *** [Makefile:109: product_timestamp] Error 1

[复制链接]
发表于 2023-7-12 17:14:11 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x

                               
登录/注册后可看大图


makefile里的compile如上图,结果编译报错


                               
登录/注册后可看大图

gcc和g++版本是4.8.5,Ubuntu是20版本,vcs是2018版本
不知怎么解决?
 楼主| 发表于 2023-7-12 17:32:56 | 显示全部楼层
Command: vcs -sverilog -ntb_opts uvm -debug_all -debug_access+all +notimingcheck \
+nospecify +v2k -l com.log -full64 -cpp /usr/bin/g++-4.8 -cc /usr/bin/gcc-4.8 -LDFLAGS \
-Wl,--no-as-needed tutorial_1_to_6.sv -timescale=1ns/1ps +define+UVM_OBJECT_MUST_HAVE_CONSTRUCTOR \
+incdir+./uvm-1.1d/src ./uvm-1.1d/src/uvm.sv ./uvm-1.1d/src/dpi/uvm_dpi.cc -CFLAGS \
-DVCS
*** Using c compiler /usr/bin/gcc-4.8 instead of cc ...
                         Chronologic VCS (TM)
       Version O-2018.09-SP2_Full64 -- Wed Jul 12 17:17:34 2023
               Copyright (c) 1991-2018 by Synopsys Inc.
                         ALL RIGHTS RESERVED

This program is proprietary and confidential information of Synopsys Inc.
and may be used and disclosed only as authorized in a license agreement
controlling such use and disclosure.


Warning-[DEBUG_DEP] Option will be deprecated
  The option '-debug_all' will be deprecated in a future release.  Please use
  '-debug_acc+all -debug_region+cell+encrypt' instead.

Parsing design file '/opt/synopsys/vcs201809/etc/uvm/uvm_pkg.sv'
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/uvm_macros.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/macros/uvm_version_defines.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/uvm_macros.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/macros/uvm_message_defines.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/uvm_macros.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/macros/uvm_phase_defines.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/uvm_macros.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/macros/uvm_object_defines.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/uvm_macros.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/macros/uvm_printer_defines.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/uvm_macros.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/macros/uvm_tlm_defines.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/tlm1/uvm_tlm_imps.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/macros/uvm_tlm_defines.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/uvm_macros.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/macros/uvm_sequence_defines.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/uvm_macros.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/macros/uvm_callback_defines.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/uvm_macros.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/macros/uvm_reg_defines.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/uvm_macros.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/macros/uvm_deprecated_defines.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/uvm_macros.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/uvm_pkg.sv'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/directc/uvm_directc.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/directc/uvm_seed.vh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/directc/uvm_directc.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/uvm_pkg.sv'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/dpi/uvm_dpi.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/dpi/uvm_hdl.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/dpi/uvm_dpi.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/dpi/uvm_svcmd_dpi.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/dpi/uvm_dpi.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/dpi/uvm_regex.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/dpi/uvm_dpi.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/uvm_pkg.sv'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_version.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_object_globals.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_misc.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_object.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_pool.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_queue.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_factory.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_registry.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_spell_chkr.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_resource.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/deprecated/uvm_resource_converter.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_resource_specializations.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_resource_db.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_config_db.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_printer.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_comparer.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_packer.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_recorder.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_event_callback.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_event.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_barrier.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_callback.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/uvm_macros.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_callback.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_report_catcher.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_report_server.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_report_handler.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_report_object.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_transaction.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_phase.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_domain.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_bottomup_phase.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_topdown_phase.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_task_phase.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_common_phases.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_runtime_phases.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_component.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_root.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_component.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_objection.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_heartbeat.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_globals.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_cmdline_processor.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_base.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/uvm_pkg.sv'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/tlm1/uvm_tlm.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/tlm1/uvm_tlm_ifs.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/tlm1/uvm_tlm.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/tlm1/uvm_sqr_ifs.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/tlm1/uvm_tlm.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/base/uvm_port_base.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/tlm1/uvm_tlm.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/tlm1/uvm_tlm_imps.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/tlm1/uvm_tlm.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/tlm1/uvm_imps.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/tlm1/uvm_tlm.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/tlm1/uvm_ports.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/tlm1/uvm_tlm.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/tlm1/uvm_exports.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/tlm1/uvm_tlm.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/tlm1/uvm_analysis_port.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/tlm1/uvm_tlm.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/tlm1/uvm_tlm_fifo_base.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/tlm1/uvm_tlm.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/tlm1/uvm_tlm_fifos.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/tlm1/uvm_tlm.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/tlm1/uvm_tlm_req_rsp.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/tlm1/uvm_tlm.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/tlm1/uvm_sqr_connections.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/tlm1/uvm_tlm.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/uvm_pkg.sv'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/comps/uvm_comps.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/comps/uvm_pair.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/comps/uvm_comps.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/comps/uvm_policies.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/comps/uvm_comps.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/comps/uvm_in_order_comparator.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/comps/uvm_comps.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/comps/uvm_algorithmic_comparator.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/comps/uvm_comps.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/comps/uvm_random_stimulus.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/comps/uvm_comps.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/comps/uvm_subscriber.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/comps/uvm_comps.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/comps/uvm_monitor.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/comps/uvm_comps.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/comps/uvm_driver.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/comps/uvm_comps.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/comps/uvm_push_driver.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/comps/uvm_comps.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/comps/uvm_scoreboard.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/comps/uvm_comps.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/comps/uvm_agent.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/comps/uvm_comps.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/comps/uvm_env.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/comps/uvm_comps.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/comps/uvm_test.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/comps/uvm_comps.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/uvm_pkg.sv'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/seq/uvm_seq.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/seq/uvm_sequence_item.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/seq/uvm_seq.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/seq/uvm_sequencer_base.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/seq/uvm_seq.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/seq/uvm_sequencer_analysis_fifo.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/seq/uvm_seq.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/seq/uvm_sequencer_param_base.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/seq/uvm_seq.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/seq/uvm_sequencer.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/seq/uvm_seq.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/seq/uvm_push_sequencer.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/seq/uvm_seq.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/seq/uvm_sequence_base.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/seq/uvm_seq.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/seq/uvm_sequence.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/seq/uvm_seq.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/seq/uvm_sequence_library.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/seq/uvm_seq.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/seq/uvm_sequence_builtin.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/seq/uvm_seq.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/uvm_pkg.sv'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/tlm2/uvm_tlm2.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/tlm2/uvm_tlm2_defines.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/tlm2/uvm_tlm2.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/tlm2/uvm_tlm2_time.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/tlm2/uvm_tlm2.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/tlm2/uvm_tlm2_generic_payload.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/tlm2/uvm_tlm2.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/tlm2/uvm_tlm2_ifs.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/tlm2/uvm_tlm2.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/tlm2/uvm_tlm2_imps.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/tlm2/uvm_tlm2.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/tlm2/uvm_tlm2_ports.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/tlm2/uvm_tlm2.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/tlm2/uvm_tlm2_exports.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/tlm2/uvm_tlm2.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/tlm2/uvm_tlm2_sockets_base.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/tlm2/uvm_tlm2.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/tlm2/uvm_tlm2_sockets.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/tlm2/uvm_tlm2.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/uvm_pkg.sv'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/reg/uvm_reg_model.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/reg/uvm_reg_item.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/reg/uvm_reg_model.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/reg/uvm_reg_adapter.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/reg/uvm_reg_model.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/reg/uvm_reg_predictor.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/reg/uvm_reg_model.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/reg/uvm_reg_sequence.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/reg/uvm_reg_model.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/reg/uvm_reg_cbs.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/reg/uvm_reg_model.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/reg/uvm_reg_backdoor.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/reg/uvm_reg_model.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/reg/uvm_reg_field.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/reg/uvm_reg_model.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/reg/uvm_vreg_field.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/reg/uvm_reg_model.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/reg/uvm_reg.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/reg/uvm_reg_model.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/reg/uvm_reg_indirect.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/reg/uvm_reg_model.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/reg/uvm_reg_fifo.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/reg/uvm_reg_model.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/reg/uvm_reg_file.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/reg/uvm_reg_model.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/reg/uvm_mem_mam.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/reg/uvm_reg_model.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/reg/uvm_vreg.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/reg/uvm_reg_model.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/reg/uvm_mem.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/reg/uvm_reg_model.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/reg/uvm_reg_map.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/reg/uvm_reg_model.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/reg/uvm_reg_block.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/reg/uvm_reg_model.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/reg/sequences/uvm_reg_hw_reset_seq.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/reg/uvm_reg_model.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/reg/sequences/uvm_reg_bit_bash_seq.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/reg/uvm_reg_model.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/reg/sequences/uvm_mem_walk_seq.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/reg/uvm_reg_model.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/reg/sequences/uvm_mem_access_seq.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/reg/uvm_reg_model.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/reg/sequences/uvm_reg_access_seq.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/reg/uvm_reg_model.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/reg/sequences/uvm_reg_mem_shared_access_seq.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/reg/uvm_reg_model.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/reg/sequences/uvm_reg_mem_built_in_seq.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/reg/uvm_reg_model.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/reg/sequences/uvm_reg_mem_hdl_paths_seq.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/reg/uvm_reg_model.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/reg/snps_uvm_reg_bank.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/reg/uvm_reg_model.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/uvm_pkg.sv'.
Parsing design file '/opt/synopsys/vcs201809/etc/uvm/vcs/uvm_custom_install_vcs_recorder.sv'
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/vcs/msglog.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/vcs/uvm_custom_install_vcs_recorder.sv'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/vcs/uvm_msglog_report_server.sv'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/vcs/uvm_custom_install_vcs_recorder.sv'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/vcs/uvm_vcs_recorder.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/vcs/uvm_custom_install_vcs_recorder.sv'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/vcs/uvm_vcs_record_interface.sv'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/vcs/uvm_custom_install_vcs_recorder.sv'.
Parsing design file '/opt/synopsys/vcs201809/etc/uvm/verdi/uvm_custom_install_verdi_recorder.sv'
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/uvm_macros.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/verdi/uvm_custom_install_verdi_recorder.sv'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/verdi/uvm_verdi_recorder.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/verdi/uvm_verdi_pli_base.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/verdi/uvm_verdi_recorder.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/verdi/uvm_custom_install_verdi_recorder.sv'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/verdi/uvm_verdi_reg_map_recording.sv'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/verdi/uvm_custom_install_verdi_recorder.sv'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/verdi/uvm_verdi_message_catcher.svh'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/verdi/uvm_verdi_pli_base.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/verdi/uvm_verdi_message_catcher.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/verdi/uvm_custom_install_verdi_recorder.sv'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/verdi/./dpi/uvm_verdi_dpi.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/verdi/uvm_custom_install_verdi_recorder.sv'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/verdi/uvm_verdi_reg_recording.sv'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/verdi/uvm_custom_install_verdi_recorder.sv'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/verdi/verdi_trans_recorder_dpi.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/verdi/uvm_custom_install_verdi_recorder.sv'.
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/verdi/uvm_verdi_pli.svh'.
Back to file '/opt/synopsys/vcs201809/etc/uvm/verdi/uvm_custom_install_verdi_recorder.sv'.
Parsing design file '/opt/synopsys/vcs201809/etc/uvm/verdi/uvm_custom_install_verdi_recorder.sv'
Parsing design file 'tutorial_1_to_6.sv'
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/uvm_macros.svh'.
Back to file 'tutorial_1_to_6.sv'.
Parsing design file './uvm-1.1d/src/uvm.sv'
Parsing included file '/opt/synopsys/vcs201809/etc/uvm/uvm_pkg.sv'.
Back to file './uvm-1.1d/src/uvm.sv'.
Top Level Modules:
       uvm_custom_install_recording
       uvm_custom_install_verdi_recording
       top
TimeScale is 1 ns / 1 ps
Notice: Ports coerced to inout, use -notice for details
Starting vcs inline pass...
9 modules and 0 UDP read.
recompiling package vcs_paramclassrepository
recompiling package _vcs_DPI_package
recompiling package uvm_pkg
recompiling package _vcs_msglog
recompiling module uvm_custom_install_recording
recompiling module uvm_custom_install_verdi_recording
recompiling module jelly_bean_if
recompiling package jelly_bean_pkg
recompiling module top
All of 9 modules done
make[1]: Entering directory '/home/host/Desktop/make_candy/csrc'
filelist:11: warning: overriding recipe for target 'uvm_dpi.o'
filelist:7: warning: ignoring old recipe for target 'uvm_dpi.o'
filelist:13: warning: overriding recipe for target 'uvm_dpi.d'
filelist:9: warning: ignoring old recipe for target 'uvm_dpi.d'
filelist:11: warning: overriding recipe for target 'uvm_dpi.o'
filelist:7: warning: ignoring old recipe for target 'uvm_dpi.o'
filelist:13: warning: overriding recipe for target 'uvm_dpi.d'
filelist:9: warning: ignoring old recipe for target 'uvm_dpi.d'
rm -f _csrc*.so pre_vcsobj_*.so share_vcsobj_*.so
filelist:11: warning: overriding recipe for target 'uvm_dpi.o'
filelist:7: warning: ignoring old recipe for target 'uvm_dpi.o'
filelist:13: warning: overriding recipe for target 'uvm_dpi.d'
filelist:9: warning: ignoring old recipe for target 'uvm_dpi.d'
/usr/bin/g++-4.8 -w  -pipe -DVCS -DUVM_DPI_DO_TYPE_CHECK -fPIC -DVCS -O -I/opt/synopsys/vcs201809/include \
-c /opt/synopsys/vcs201809/etc/uvm/verdi/dpi/uvm_verdi_dpi.cpp
if [ -x ../simv ]; then chmod -x ../simv; fi
/usr/bin/g++-4.8  -o ../simv    -Wl,-rpath-link=./ -Wl,-rpath='$ORIGIN'/simv.daidir/ \
-Wl,-rpath=./simv.daidir/ -Wl,-rpath='$ORIGIN'/simv.daidir//scsim.db.dir  -Wl,--no-as-needed \
-rdynamic  -Wl,-rpath=/opt/synopsys/vcs201809/linux64/lib -L/opt/synopsys/vcs201809/linux64/lib \
uvm_dpi.o uvm_dpi.o uvm_verdi_dpi.o   objs/amcQw_d.o   _22344_archive_1.so  SIM_l.o \
rmapats_mop.o rmapats.o rmar.o rmar_nd.o  rmar_llvm_0_1.o rmar_llvm_0_0.o        \
-lzerosoft_rt_stubs -lvirsim -lerrorinf -lsnpsmalloc -lvfs    -lvcsnew -lsimprofile \
-luclinative /opt/synopsys/vcs201809/linux64/lib/vcs_tls.o   -Wl,-whole-archive -lvcsucli \
-Wl,-no-whole-archive       ./../simv.daidir/vc_hdrs.o  _vcs_pli_stub_.o   /opt/synopsys/vcs201809/linux64/lib/vcs_save_restore_new.o \
/opt/synopsys/verdi201809/share/PLI/VCS/LINUX64/pli.a -ldl  -lc -lm -lpthread -ldl \

/usr/bin/ld: uvm_dpi.o: in function `uvm_re_match':
uvm_dpi.cc.text+0x1d6): multiple definition of `uvm_re_match'; uvm_dpi.o:uvm_dpi.cc.text+0x1d6): \
first defined here
/usr/bin/ld: uvm_dpi.o: in function `uvm_glob_to_re':
uvm_dpi.cc.text+0x322): multiple definition of `uvm_glob_to_re'; uvm_dpi.o:uvm_dpi.cc:(.text+0x322): \
first defined here
/usr/bin/ld: uvm_dpi.o: in function `uvm_dump_re_cache':
uvm_dpi.cc:(.text+0x55c): multiple definition of `uvm_dump_re_cache'; uvm_dpi.o:uvm_dpi.cc:(.text+0x55c): \
first defined here
/usr/bin/ld: uvm_dpi.o: in function `uvm_hdl_check_path':
uvm_dpi.cc:(.text+0x576): multiple definition of `uvm_hdl_check_path'; uvm_dpi.o:uvm_dpi.cc:(.text+0x576): \
first defined here
/usr/bin/ld: uvm_dpi.o: in function `uvm_memory_load':
uvm_dpi.cc:(.text+0x592): multiple definition of `uvm_memory_load'; uvm_dpi.o:uvm_dpi.cc:(.text+0x592): \
first defined here
/usr/bin/ld: uvm_dpi.o: in function `uvm_hdl_read_string':
uvm_dpi.cc:(.text+0x5b1): multiple definition of `uvm_hdl_read_string'; uvm_dpi.o:uvm_dpi.cc:(.text+0x5b1): \
first defined here
/usr/bin/ld: uvm_dpi.o: in function `uvm_hdl_read':
uvm_dpi.cc:(.text+0x5d0): multiple definition of `uvm_hdl_read'; uvm_dpi.o:uvm_dpi.cc:(.text+0x5d0): \
first defined here
/usr/bin/ld: uvm_dpi.o: in function `dtob':
uvm_dpi.cc:(.text+0x781): multiple definition of `dtob'; uvm_dpi.o:uvm_dpi.cc:(.text+0x781): \
first defined here
/usr/bin/ld: uvm_dpi.o: in function `uvm_hdl_deposit':
uvm_dpi.cc:(.text+0x81a): multiple definition of `uvm_hdl_deposit'; uvm_dpi.o:uvm_dpi.cc:(.text+0x81a): \
first defined here
/usr/bin/ld: uvm_dpi.o: in function `uvm_hdl_force':
uvm_dpi.cc:(.text+0x82d): multiple definition of `uvm_hdl_force'; uvm_dpi.o:uvm_dpi.cc:(.text+0x82d): \
first defined here
/usr/bin/ld: uvm_dpi.o: in function `uvm_hdl_release_and_read':
uvm_dpi.cc:(.text+0x840): multiple definition of `uvm_hdl_release_and_read'; uvm_dpi.o:uvm_dpi.cc:(.text+0x840): \
first defined here
/usr/bin/ld: uvm_dpi.o: in function `uvm_hdl_release':
uvm_dpi.cc:(.text+0x853): multiple definition of `uvm_hdl_release'; uvm_dpi.o:uvm_dpi.cc:(.text+0x853): \
first defined here
/usr/bin/ld: uvm_dpi.o: in function `uvm_dpi_get_next_arg_c':
uvm_dpi.cc:(.text+0x869): multiple definition of `uvm_dpi_get_next_arg_c'; uvm_dpi.o:uvm_dpi.cc:(.text+0x869): \
first defined here
/usr/bin/ld: uvm_dpi.o: in function `uvm_dpi_get_tool_name_c':
uvm_dpi.cc:(.text+0x983): multiple definition of `uvm_dpi_get_tool_name_c'; uvm_dpi.o:uvm_dpi.cc:(.text+0x983): \
first defined here
/usr/bin/ld: uvm_dpi.o: in function `uvm_dpi_get_tool_version_c':
uvm_dpi.cc:(.text+0x999): multiple definition of `uvm_dpi_get_tool_version_c'; uvm_dpi.o:uvm_dpi.cc:(.text+0x999): \
first defined here
/usr/bin/ld: uvm_dpi.o: in function `uvm_dpi_regcomp':
uvm_dpi.cc:(.text+0x9af): multiple definition of `uvm_dpi_regcomp'; uvm_dpi.o:uvm_dpi.cc:(.text+0x9af): \
first defined here
/usr/bin/ld: uvm_dpi.o: in function `uvm_dpi_regexec':
uvm_dpi.cc:(.text+0xa11): multiple definition of `uvm_dpi_regexec'; uvm_dpi.o:uvm_dpi.cc:(.text+0xa11): \
first defined here
/usr/bin/ld: uvm_dpi.o: in function `uvm_dpi_regfree':
uvm_dpi.cc:(.text+0xa3a): multiple definition of `uvm_dpi_regfree'; uvm_dpi.o:uvm_dpi.cc:(.text+0xa3a): \
first defined here
collect2: error: ld returned 1 exit status
make[1]: *** [Makefile:109: product_timestamp] Error 1
make[1]: Leaving directory '/home/host/Desktop/make_candy/csrc'
Make exited with status 2
CPU time: 9.235 seconds to compile + .280 seconds to elab + .704 seconds to link
发表于 2023-7-13 18:37:19 | 显示全部楼层
Makefile第109行是啥?
 楼主| 发表于 2023-7-13 21:01:16 | 显示全部楼层

                               
登录/注册后可看大图


太蠢了,要把源文件放在uvm编译选项的后面。
发表于 2023-7-14 18:24:28 | 显示全部楼层
UVM_HOME那几个,我们一般都是放在filelist里面的,分为RTL一个,TB一个。除了.cc放在编译选项里。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 15:15 , Processed in 0.044718 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表