在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 860|回复: 7

[求助] verilog/systemverilog混合编译

[复制链接]
发表于 2023-6-30 17:08:08 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
咨询下大家,

如果一个工程里面,部分代码用的verilog语法,部分代码用的systemverilog语法,怎么样告诉vcs/verdi等工具,哪些是verilog文件,哪些是systemverilog文件?
实际测试:
1. 如果verdi打开全部代码时,不加上-sv选项,systemverilog代码会报语法错误;
2. 如果verdi打开全部代码时,加上-sv选项,不会报错,可以正常打开,但是会导致verilog文件中,有些语法错误被-sv选项掩盖,导致语法错误无法发现;
请问大家有什么好办法可以解决上述问题?(由于存在verilog代码调用systemverilog代码的问题,因此不能分开独立编译)

发表于 2023-7-3 16:07:31 | 显示全部楼层
关注
发表于 2023-7-13 14:23:22 | 显示全部楼层
这要编成不同的lib
发表于 2023-7-19 10:59:18 | 显示全部楼层
本帖最后由 潇洒的蛋壳Chris 于 2023-7-19 13:35 编辑

2. 如果verdi打开全部代码时,加上-sv选项,不会报错,可以正常打开,但是会导致verilog文件中,有些语法错误被-sv选项掩盖,导致语法错误无法发现

请教下如上这点有没有具体的示例啊?
意思是加上-sv后verilog中的compile error就不会报了?
发表于 2023-7-19 11:00:37 | 显示全部楼层
2. 如果verdi打开全部代码时,加上-sv选项,不会报错,可以正常打开,但是会导致verilog文件中,有些语法错误被-sv选项掩盖,导致语法错误无法发现;
请问下楼主这种verilog的语法错误会被-sv选项覆盖,能具体举个例子么?我一直用的都是加-sv option的啊
发表于 2023-7-19 14:35:17 | 显示全部楼层
verilog能实现的sv都能把,为啥要混合编译
 楼主| 发表于 2023-7-19 15:31:05 | 显示全部楼层


潇洒的蛋壳Chris 发表于 2023-7-19 11:00
2. 如果verdi打开全部代码时,加上-sv选项,不会报错,可以正常打开,但是会导致verilog文件中,有些语法错 ...


很简单的一个例子,你例化一个module,然后定义的信号声明成reg类型,加上-sv就不会报,去掉就会报。

必须是例化module,如果是reg类型用assign赋值,那加上-sv也会报
 楼主| 发表于 2023-7-19 15:32:57 | 显示全部楼层


Emmet_73 发表于 2023-7-19 14:35
verilog能实现的sv都能把,为啥要混合编译


代码的来源不同,没办法啊
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-9 06:58 , Processed in 0.023825 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表