在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 984|回复: 1

[求助] DC前端综合PAD input_delay和output_delay 约束问题

[复制链接]
发表于 2023-4-23 10:34:44 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
小白一个,想请教各位大佬一个问题,我目前进行芯片级的PAD的input_delay和output_delay的约束。目前有一个IO端口。

                               
登录/注册后可看大图


     如图,在电路设计中,该管脚是使用cpu_SYS_CLK时钟来采样的。之所以用这个时钟进行采样是因为在刚开始时,这个时钟是一个频率非常低的时钟,大约也就20M吧,之后这个时钟的频率才会切换到高频。
     那么问题就来了,我DC进行约束时,约束的这个时钟是它在高频状态下的时钟,那么我的input_delay如果也是按照这个高频的情况约束就不对了,因为是在低频的情况下,进行的采样,请问各位大佬,我应该如何进行约束呢?
发表于 2023-4-24 14:15:50 | 显示全部楼层
高频如果满足的话,低频也应该满足吧
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-14 12:02 , Processed in 0.022251 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表