在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 966|回复: 6

[求助] 求助:UVM的package引用

[复制链接]
发表于 2023-3-28 17:41:23 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x

如下图,在seq_pkg中的reg_base_sqr中声明了p_sqr的方式能访问层次结构,但这里按编译顺序的问题,seq_pkg的域中又不能看到对应的sqr。



                               
登录/注册后可看大图

目前估计就是把seq_pkg的东西全部丢到env_pkg,但有没有其他的方式,保留seq_pkg。
发表于 2023-3-29 09:17:43 | 显示全部楼层
问题是你的reg_sequencer为什么不放在seq_pkg里面?
发表于 2023-3-29 09:26:18 | 显示全部楼层
直接在seq_pkg里把sequencer所在的pkg import进来就行了,保证pkg整体封装同时有文件相互引用的就用import 咯
 楼主| 发表于 2023-3-29 10:02:44 | 显示全部楼层
这里的初衷是想做2个pkg,一个seq的,一个组件的,但因为seq中p_sqr又跑到了组件pkg那,而且env_pkg中又有seq相关事务。现在就变成了2个包相互调用。
1:现在的编译顺序是seq_pkg先,env_pkg后,在seq_pkg中引入了env_pkg,编译报错,因为编译seq_pkg时。后面的env_pkg还没编译
2:目前解决方法就是把seq_pkg和env_pkg合并,变成一个pkg,就没这个问题了,但违背了初衷。
3:如果把sqr的部分include在seq_pkg中,编译是可以的且不违背初衷,但后续如果seq_pkg还要使用除sqr外其他组件
还是会报错。所以说是不是大家普遍不这样做。
发表于 2023-3-29 10:09:34 | 显示全部楼层
一般virtual_sequence和virtual_sequencer都放在env所在的package里面就行了,不用搞那么复杂
发表于 2023-3-29 17:35:11 | 显示全部楼层
为什么env里面会有seq的处理事务?seq又为什么要用component?我理解你这么分两个pkg,就不应该会出现前面两个问题。如果涉及到这两个问题,那就说明验证环境的重用性还做得不够好。2楼的说法比较常用,我们实际工程里也是这么用的,虽然不一定就是标配。
 楼主| 发表于 2023-4-3 16:16:16 | 显示全部楼层
已解决,谢谢各位楼上。现在采用vseq的方式作为激励的容器。挂载vsqr
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-26 19:31 , Processed in 0.037867 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表