在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 822|回复: 1

[求助] verilog/veriloga代码编译报错:internal parse error

[复制链接]
发表于 2023-3-18 23:03:16 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我在进行verilog模块和veriloga模块混合仿真的时候,提示代码编译错误:internal parse error,而且显示错误在最后一行,就是endmodule附近


但是我写的verilog/veriloga当时生成symbol的时候编译并没有错误,最后到AMS混仿的时候报错
而且系统说错误出在endmodule附近,我就很困惑endmodule附近并没有错误啊
请问大家遇到过这种情况吗?
 楼主| 发表于 2023-3-18 23:24:54 | 显示全部楼层
而且我发现把veriloga模块单独拎出来,弄个AMS仿真,它都会报错internal parse error
而这个veriloga模块在spectre仿真器里面仿真一切正常,一旦用了AMS仿真器,就会报上述错误
怎么破招啊,好崩溃
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-16 21:32 , Processed in 0.017608 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表