在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1162|回复: 9

[讨论] 将ICC 导出的gds文件 导入 Virtuoso 中找不到standard cell

[复制链接]
发表于 2023-2-24 16:29:53 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
用ICC生成GDS文件之后,stream in导入virtuoso,但是生成的layout看不到standard cell,只能看到框框和连线,warning提示the reference cell was not found.
请问这是怎么回事?感谢大佬们路过解答一下

下载.png
发表于 2023-2-24 21:26:03 | 显示全部楼层
嗯 ICC的 std  milkway 库里面  CELL view 里面不包含GDS。

打开  milkway 库, steam in 一把STD gds 就行了。。。
 楼主| 发表于 2023-2-26 10:51:06 | 显示全部楼层


voiluce 发表于 2023-2-24 21:26
嗯 ICC的 std  milkway 库里面  CELL view 里面不包含GDS。

打开  milkway 库, steam in 一把STD gds 就 ...


milkway库里的STD gds是什么格式的呀,我这只有一个gdsout.map,把这个导入吗?  我在FRAM那个文件夹里找到了缺失的单元,但是没法导入,FRAM里是这种文件

                               
登录/注册后可看大图

发表于 2023-2-26 17:09:38 | 显示全部楼层
gdsout.map === 这个是mapping file, 不是gds

好像是T家的std 库 来着 ?
发表于 2023-2-26 17:40:54 | 显示全部楼层
看一下解压缩之后的目录有没有一个叫gds的目录 ?
 楼主| 发表于 2023-2-27 09:00:51 | 显示全部楼层


voiluce 发表于 2023-2-26 17:40
看一下解压缩之后的目录有没有一个叫gds的目录 ?


感谢,找到了gds文件,stream in之后可以看到版图了。另外想问下,在vrirtuoso中DRC和LVS验证通过后,怎么在virtuoso中后仿,模拟电路后仿还有电路图,这只有一个版图文件,怎么后仿来验证STA中芯片能达到的频率
发表于 2023-2-27 13:44:00 | 显示全部楼层
怎么后仿来验证STA中芯片能达到的频率
===
不建议用数字的数据去跑模拟的后仿。
当然,设计特别小(几百个cell)的情况可以跑后仿,具体咨询做模拟设计的人,他们很清楚。
发表于 2023-2-27 13:45:01 | 显示全部楼层
注意的是,数字的电路跑后仿需要跑很多corner, FF,TT,SS 都需要的,这个需要和模拟后仿的人说清楚。
 楼主| 发表于 2023-2-27 19:17:20 | 显示全部楼层


voiluce 发表于 2023-2-27 13:44
怎么后仿来验证STA中芯片能达到的频率
===
不建议用数字的数据去跑模拟的后仿。


感谢回答
那不用模拟后仿的话,还有其他的方法来验证这个频率吗?
另外,DRC和LVS有错误的话,得重新进行布局布线是吧,不能直接在virtuoso中修改



发表于 2023-2-27 20:56:20 | 显示全部楼层
那不用模拟后仿的话,还有其他的方法来验证这个频率吗?
===
数字flow是跑 STA ,模拟后仿是模拟设计的思路,不建议这么折腾,出乱子还不知道谁负责mask的费用。

另外,DRC和LVS有错误的话,得重新进行布局布线是吧,不能直接在virtuoso中修改
===
DRC LVS 有错误,这个要具体原因具体分析,是否重新apr,需要看错误的类型。
比如简单的metal 错误,和base layer nw之类错误是不一样的处理。
肯定不能在virtuoso里面修改。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 07:20 , Processed in 0.043245 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表