在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1687|回复: 7

[原创] uvm一个广泛流传的错误例子

[复制链接]
发表于 2022-12-23 11:02:32 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
真是无力吐槽,网上流传的这个uvm helloworld,第一句 `include "uvm_pkg.sv" 是个什么鬼




  1. `include "uvm_pkg.sv"
  2. module hello;
  3.     import uvm_pkg::*;
  4.     `include "uvm_macros.svh"   
  5.     initial begin
  6.         `uvm_info("info", "hello UVM", UVM_LOW);
  7.     end
  8. endmodule


复制代码
而且这代码用modelsim编译,会导致第二次编译出错,只有把work目录删掉再编译
发表于 2022-12-26 19:25:12 来自手机 | 显示全部楼层
咱都用vcs/xrun,马多斯孟仿真器不敢说也不敢问。。。
发表于 2023-1-10 16:40:41 | 显示全部楼层
“uvm_pkg.sv” 这个就是和uvm相关的一些包文件。
我也遇到过第一次编译正确,再次编译错误,必须删除掉work文件夹。
起始是因为没有正确在do文件中指定uvm_pkg.sv的路径导致的。
一般这个文件在 C:/modeltech_10.1a/verilog_src/uvm-1.1a/src 下,在编译代码的时候,把这个路径加入即可。
后面编译就不用删除work文件夹了!
发表于 2023-3-17 08:32:17 | 显示全部楼层
thanks for good info.
发表于 2023-3-20 14:21:56 | 显示全部楼层
我就好奇一下,哪家公司是用modelsim的?
 楼主| 发表于 2023-3-31 13:44:07 | 显示全部楼层


li5panlong 发表于 2023-3-20 14:21
我就好奇一下,哪家公司是用modelsim的?


公司用vcs,自己本机上装modelsim,方便在家学习
发表于 2023-4-3 16:35:45 | 显示全部楼层
按道理这个uvm_pkg.sv被include多次也没关系,因为文件里面已经有一个哨兵宏了。

`ifndef UVM_PKG_SV
`define UVM_PKG_SV

`include "uvm_macros.svh"

package uvm_pkg;

  `include "dpi/uvm_dpi.svh"
  `include "base/uvm_base.svh"
  `include "dap/uvm_dap.svh"
  `include "tlm1/uvm_tlm.svh"
  `include "comps/uvm_comps.svh"
  `include "seq/uvm_seq.svh"
  `include "tlm2/uvm_tlm2.svh"
  `include "reg/uvm_reg_model.svh"

endpackage

`endif
发表于 2023-8-23 11:32:24 | 显示全部楼层
学习一下,居家自学
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 00:19 , Processed in 0.023730 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表