在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 874|回复: 9

[求助] hiiToggleEnterForm函数无法正常使用

[复制链接]
发表于 2022-11-23 10:22:35 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
大佬们,我在virtuoso把log File把所有开关都打开了,然后把调用一个通孔的所有函数都抄了下来,编写在了我的脚本里,现在的问题是这样的,我一共从里面抄了三句话,第作用如下,这些写在CIW里面的时候是可以正常运行的,但是当写在脚本里的时候他的第二句发挥不了作用。
leHiCreatVia()                                                             打开过孔的设置菜单
hiiToggleEnterForm(leViaForm)                                    关闭过孔的设置菜单(这一句放在脚本里没法发挥作用)
addPoint(1:1)                                                             在1:1的坐标下放下设置好的过孔
我现在想的是找一句相同作用的函数代替它,或者用什么办法让他起到应有的作用,求大佬指点一手
发表于 2022-11-23 17:50:38 | 显示全部楼层
你要实现什么功能,有些界面函数在skill脚本里是不能正常运行的
 楼主| 发表于 2022-11-24 14:59:29 | 显示全部楼层


sihulun 发表于 2022-11-23 17:50
你要实现什么功能,有些界面函数在skill脚本里是不能正常运行的


我现在想要找一个可以关闭指定弹窗的函数。因为用这个方法来在版图中放一个孔相较于用skill里面的函数要简单很多,所以我想试试用这些函数来写一个自动打孔的脚本,在实践中只有这一条函数没法正常使用,想要找一个功能相同的函数来替代他
发表于 2022-11-27 15:22:25 | 显示全部楼层


灰色 发表于 2022-11-24 14:59
我现在想要找一个可以关闭指定弹窗的函数。因为用这个方法来在版图中放一个孔相较于用skill里面的函数要 ...


有用过Auto=》As a wire

 楼主| 发表于 2022-11-28 13:47:06 | 显示全部楼层


sihulun 发表于 2022-11-27 15:22
有用过Auto=》As a wire


没用过啊,看字面意思这是自动布线吗?
发表于 2022-11-28 13:51:27 | 显示全部楼层


灰色 发表于 2022-11-28 13:47
没用过啊,看字面意思这是自动布线吗?


版图中得自动打孔,eetop暂时没发图片。你可以研究一下



 楼主| 发表于 2022-11-29 09:48:57 | 显示全部楼层


sihulun 发表于 2022-11-28 13:51
版图中得自动打孔,eetop暂时没发图片。你可以研究一下


奥奥,谢谢大佬,等会我去研究一下,但是我这个帖子主要是为了研究一下那个函数,自动打孔只是应用中的其中一个体现,是想用来举例来问函数的
发表于 2022-11-29 16:33:57 | 显示全部楼层
cancelEnterFun();有不错的想法可以分享吗,最近在找练手的方向
 楼主| 发表于 2022-11-30 09:40:54 | 显示全部楼层


sihulun 发表于 2022-11-29 16:33
cancelEnterFun();有不错的想法可以分享吗,最近在找练手的方向


       感谢大佬,我去研究下那个函数。我现在也是刚发现界面函数有些也能用在脚本里,正在尝试用它来改写一下以前练手的自动打孔脚本,想看一下使用过程中会有什么问题。       至于想法的话,我下一步想搞一个能够在保留XL关系的情况下,一键生成差分对、电流镜这种固定的结构。
发表于 2022-12-2 10:08:21 | 显示全部楼层


灰色 发表于 2022-11-30 09:40
感谢大佬,我去研究下那个函数。我现在也是刚发现界面函数有些也能用在脚本里,正在尝试用它来改 ...


一键生成差分对、电流镜;保留xl信息就使用rodCreatePin,剩余的默认参数可以选择techGetSpacingRule,如果你想做成pcell的话我想也会是个不错的选择



您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-10 14:33 , Processed in 0.029139 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表