在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1866|回复: 14

[资料] Fundamentals of Digital Logic with VHDL Design, 4th Edition @2022

[复制链接]
发表于 2022-11-21 20:27:14 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 2046 于 2022-11-21 20:28 编辑

    Fundamentals of Digital Logic with Vhdl Design is intended for an introductory course in digital logic design, which is a basic course in most electrical and computer engineering programs. A successful designer of digital logic circuits needs a good understanding of the classical methods of logic design and a firm grasp of the modern design approach that relies on computer-aided design (CAD) tools.     The main goals of this book are to teach students the fundamental concepts of classical manual digital design and to illustrate clearly the way in which digital circuits are designed today, using CAD tools. This title will be available in Connect. Its Connect shell consists of the MHeBook, Tegrity, Proctorio, and the ability to add your own questions. It does not have SmartBook or assessment in the question banks.
Table of Contents
1 Introduction
2 Introduction to Logic Circuits
3 Number Representation and Arithmetic Circuits
4 Combinational-Circuit Building Blocks
5 Flip-Flops, Registers, and Counters
6 Synchronous Sequential Circuits
7 Digital System Design
8 Optimized Implementation of Logic Functions
9 Asynchronous Sequential Circuits
10 Computer Aided Design Tools
11 Testing of Logic Circuits

iShot_2022-11-21_20.25.36.png
Fundamentals of Digital Logic with VHDL Design, 4th Edition @2022.pdf (20.52 MB, 下载次数: 111 )
发表于 2022-11-21 21:52:10 | 显示全部楼层
谢谢分享
发表于 2022-11-21 21:52:19 | 显示全部楼层
:victory:
发表于 2022-11-21 21:53:44 | 显示全部楼层
Thank you. Thank you. Thank you.
发表于 2022-11-21 21:56:07 | 显示全部楼层
kanskdnsa
发表于 2022-11-21 22:25:40 | 显示全部楼层
谢谢分享!!!
发表于 2022-11-22 07:48:56 | 显示全部楼层
谢谢分享
发表于 2022-11-22 09:11:07 | 显示全部楼层
謝謝分享
发表于 2022-11-22 13:32:13 | 显示全部楼层
谢谢分享!!
发表于 2022-11-22 13:46:25 | 显示全部楼层
THANKS A LOT
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-1 12:11 , Processed in 0.027339 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表