在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1075|回复: 1

[求助] ASIC 时钟mux约束

[复制链接]
发表于 2022-11-15 17:12:41 | 显示全部楼层 |阅读模式
200资产
各位大侠,请问下面这种情况该如何约束?
clock_mux.png

#源时钟定义
create_clock –name clk1 –period <> [get_portsclk1]
create_clock –name clk2 –period <> [get_portsclk2]
create_clock –name clk3 –period <> [get_portsclk3]

set_clock_groups –logically_exclusive –group{ clk_mux1_1} –group { clk_mux1_2} –group { clk_mux1_3}

#FFdiv1生成时钟定义
create_generate_clock –name clk_div_1 –divide_by2 –source [get_pins FFDdiv1_ck] –master_clock [get_clocks clk1] [get_pins FFDdiv1_q]

create_generate_clock –name clk_div_2 –divide_by2 –source [get_pins FFDdiv1_ck] –master_clock [get_clocks clk2] [get_pins FFDdiv1_q]–add

create_generate_clock –name clk_div_3 –divide_by2 –source [get_pins FFDdiv1_ck] –master_clock [get_clocks clk3] [get_pins FFDdiv1_q]–add

set_clock_groups –physically_exclusive –group{ clk_div_1} –group { clk_div_2} –group { clk_div_3}


#FFdiv2 FFdiv3生成时钟如何定义,特别是master_clock怎么设置?


 楼主| 发表于 2022-11-15 17:23:52 | 显示全部楼层
或者是这样的思路,mux1输出定义3个时钟,DDdiv1输出定义3个时钟,mux2输出定义3+3=6个时钟,FFdiv2输出定义6个时钟,FFdiv3输出定义6个时钟?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-2 16:55 , Processed in 0.016806 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表