在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1573|回复: 9

[求助] 后仿sdf反标不上

[复制链接]
发表于 2022-11-8 10:37:51 | 显示全部楼层 |阅读模式
15资产
图片是 lib cell 的 specify 和这个 cell 的 sdf,这个 cell 的 sdf 一条都没标上,有大佬帮忙看看为什么,要怎么解吗?我自己也在研究中..

specify&sdf

specify&sdf

最佳答案

查看完整内容

根据没标上的路径,在sdf文件中找到同样的路径,看看stand cell的写法是不是有点问题。可以尝试下改stand cell的语法,看看有没有反标上,这个问题一般是stand cell的版本和生成sdf文件的工具版本不兼容导致的。
发表于 2022-11-8 10:37:52 | 显示全部楼层


根据没标上的路径,在sdf文件中找到同样的路径,看看stand cell的写法是不是有点问题。可以尝试下改stand cell的语法,看看有没有反标上,这个问题一般是stand cell的版本和生成sdf文件的工具版本不兼容导致的。
发表于 2022-11-8 10:46:34 | 显示全部楼层
加上-sdflog选项,看看反标log
发表于 2022-11-8 10:47:29 | 显示全部楼层


Distent 发表于 2022-11-8 10:46
加上-sdflog选项,看看反标log


噢是-sdfstat
 楼主| 发表于 2022-11-8 11:05:10 | 显示全部楼层
一条都没标上
046f093c53493e29e2ed262a5d65926.png
69a84e5f39b8b51777c538e34d242bb.png
 楼主| 发表于 2022-11-8 11:06:37 | 显示全部楼层


log有发了哦
 楼主| 发表于 2022-11-8 11:25:39 | 显示全部楼层


我也遇到了相同的问题,testbench,网表文件,sdf,库文件都齐了以后,
检查sdf文件的大约12,13行,如下
12 (CELLTYPE "digital_top_111x")
  13  (INSTANCE digital_top_111x)
里面的digital_top_111x必须和你testbench里,网表里的top模块名一致,记住是模块名,不是实例化名。
我的问题就是这么解决的。


网上查到这么个解决方法,在试..

 楼主| 发表于 2022-11-9 10:22:03 | 显示全部楼层


Distent 发表于 2022-11-8 14:29
根据没标上的路径,在sdf文件中找到同样的路径,看看stand cell的写法是不是有点问题。可以尝试下改stand ...


stand cell 的 specify 可以改吗?有对比标注上的 spedify 和 sdf 写法,如果是改 specify 的话大致知道要怎么改,但是我担心改过之后就改变 specify 要表达的内容了
发表于 2022-11-9 14:22:55 | 显示全部楼层


wonder_qi 发表于 2022-11-9 10:22
stand cell 的 specify 可以改吗?有对比标注上的 spedify 和 sdf 写法,如果是改 specify 的话大致知道 ...


是可以改的,只要保证你的内容能标上并且正确就行,这种事我们项目之前也做过,具体改法我做验证的不太清楚,这个要找开发同事看看了,针对stand cell是有特定语法的,貌似是改得和sdf文件差不多
发表于 2022-11-10 09:45:00 | 显示全部楼层
我看了下,应该是后端产生sdf的noedge的问题,model里面的iopath是带edge的,而产生的iopath delay是不带edge,产生的sdf选项改一下应该就可以了。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 18:12 , Processed in 0.028512 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表